Quartus原理圖輸入法指南

上傳人:fgh****35 文檔編號:248204746 上傳時間:2024-10-22 格式:PPT 頁數(shù):26 大?。?87.50KB
收藏 版權(quán)申訴 舉報 下載
Quartus原理圖輸入法指南_第1頁
第1頁 / 共26頁
Quartus原理圖輸入法指南_第2頁
第2頁 / 共26頁
Quartus原理圖輸入法指南_第3頁
第3頁 / 共26頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《Quartus原理圖輸入法指南》由會員分享,可在線閱讀,更多相關(guān)《Quartus原理圖輸入法指南(26頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、,*,單擊此處編輯母版標(biāo)題樣式,單擊此處編輯母版文本樣式,第二級,第三級,第四級,第五級,Quartus II,原理圖輸入法指南,東南大學(xué)計算機學(xué)院,王曉蔚 任國林,2,一、建立工程文件,提示:,可能會彈出與工程文件或工程目錄有關(guān)的詢問對話框,1,、填寫工程目錄名及工程文件名,可從菜單,File,New Project Wizard,進入,完成后單擊,Next,3,2,、添加所需原始設(shè)計文件到工程文件中,單擊,Next,提示:,*需提前拷貝好文件,(.bdf,或,.gdf),*,僅拷貝所需文件,*拷貝到工程目錄中,*文件被調(diào)用時默認(rèn)已加入工程文件,4,3,、選擇所用,FPGA,型號,單擊,Ne

2、xt,提示:,*下載與之有關(guān),*課程實驗臺中芯片為,Cyclone,的,EP1C6Q240C8,5,4,、添加準(zhǔn)備使用的新,EDA,工具,單擊,Next,提示:,通常只需要使用,Quartus,提供的,EDA,工具,6,5,、查看、確認(rèn)所建立的工程文件信息,單擊,Finish,提示:,此時建立的只是一個空的工程文件,7,二、形成原理圖設(shè)計文件,1,、建立原理圖設(shè)計文件,從菜單,FileNew,進入,在,6,種設(shè)計輸入法中選擇,原理圖輸入法,,單擊,OK,,即可進入原理圖編輯器,提示:硬件實驗課程要求如此,8,2,、編輯原理圖設(shè)計文件,元件的選擇與放置,*,可,在,Symbol,對話框中選擇元件

3、,單擊,OK,提示:,*彈出,Symbol,方法:雙擊鼠標(biāo)左鍵、工具條,*,元件庫包含系統(tǒng)庫和,Project,庫兩類,*,Project,庫由用戶自定義符號文件,(.bsf),組成,*,亦可,在編輯區(qū)用,Copy,及,Paste,命令實現(xiàn)選擇,*,在編輯區(qū)可移動元件,放置到合適的位置,9,元件的連接,*根據(jù)源處,/,目標(biāo)處管腳類型,使工具箱相應(yīng)連接線有效,*在源處,按下,鼠標(biāo)左鍵、,移至,目標(biāo)處、,松開,鼠標(biāo)左鍵即可,提示:,*連接線有,3,種:,Node,、,Bus,、,Conduit,*,所連接的源端、目標(biāo)端元件管腳類型應(yīng)相同,*應(yīng)避免移動元件時產(chǎn)生多余交叉點,*工具箱有多種功能,*,可

4、給連接線命名,,源處、目標(biāo)處同名,表示已實現(xiàn)連接,10,輸入,/,輸出管腳的設(shè)定,*按需選擇,input,、,output,元件,并與相應(yīng)元件管腳連接,*對所有,input,、,output,元件進行命名,提示:,*命名盡量簡明,*,Node,、,Bus,、,Conduit,的命名規(guī)則不同,*其它元件實例名亦可修改,3,、保存原理圖設(shè)計文件,從菜單,FileSave,進入、或使用工具條,可保存文件,11,4,、生成原理圖符號文件,*選擇菜單,FileCreate/UpdateCreate Symbol Files for Current File,,將彈出文件名對話框,*,編輯文件名并保存為文

5、件,提示:*,Symbol,的,Project,庫由該類文件組成,*該類文件,應(yīng)該在,編譯,/,仿真正確后生成,12,三、編譯原理圖設(shè)計文件,1,、設(shè)置頂層文件,*在窗口,Project NavigatorFiles,中,選中目標(biāo)文件后、點擊鼠標(biāo)右鍵,即可設(shè)置為頂層文件,*,進入菜單,Project,,亦可將當(dāng)前編輯文件設(shè)置為頂層文件,編譯是相對工程文件而言的,,必須先打開工程文件,!,13,2,、編譯頂層文件,*選擇菜單,ProcessingCompiler Tool,后,點擊,Start,即可,*,編譯結(jié)束時,會報告警告或錯誤的統(tǒng)計情況,*編譯出錯時,按,Message,提示修改錯誤,直至

6、編譯通過,提示:,有多種方法觸發(fā)編譯開始,14,四、對原理圖設(shè)計文件進行時序仿真,1,、建立用于仿真的波形文件,進入波形文件編輯器,選擇菜單,FileNew,,在對話框的,Other Files,中選擇,Vector Waveform File,后,即可進入,15,選擇所需的輸入輸出管腳,*在,Name,區(qū)域?qū)?yīng)右鍵菜單中,從,Insert,打開,Insert Node or Bus,對話框,單擊,Node Finder,16,*,在,Node Finder,對話框中,單擊,List,后,可從左邊選擇所需的輸入輸出管腳到右邊,(Filter,應(yīng)設(shè)置為,Pins:all),提示:,*只可對頂層文

7、件建立仿真文件,*,Filter,可決定,Nodes Found,的內(nèi)容,*,回到,Insert Node or Bus,對話框,點擊,OK,即可完成選擇,*保存文件,即可實現(xiàn)波形文件,(.vwf),的建立,17,2,、設(shè)置波形文件的仿真時間,*使當(dāng)前窗口為波形文件,可顯示波形文件編輯器菜單,*選擇菜單,EditEnd Time,,在對話框中可設(shè)置結(jié)束時間,*選擇菜單,EditGrid Size,,,在對話框中可設(shè)置時間單位,該步驟可省略,缺省值為,1us,及,10ns,18,3,、設(shè)置波形文件的輸入波形信號,*利用,Zoom Tool,及,Seletion Tool,,可調(diào)整,Period,

8、顯示寬度,*選擇并設(shè)置各個輸入管腳的信號波形,組合管腳值設(shè)置通過右鍵菜單,ValueArbitrary Value,實現(xiàn),同時值類型應(yīng)設(shè)置為,Hexadecimal,提示:,管腳可以分組,以簡化設(shè)置信號值的繁雜程度,(EditGrouping),*,將設(shè)置的波形信號,保存到文件中,19,4,、進行功能仿真,生成功能仿真網(wǎng)表,*選擇菜單,ProcessingSimulator Tool,,彈出相應(yīng)對話框,*在對話框中選擇,Functional,后,單擊,Generate Functional Simulation Netlist,,即可生成功能仿真網(wǎng)表,提示:,修改原理圖文件后,必須重新編譯、重

9、新生成仿真網(wǎng)表,20,進行功能仿真,*在對話框的,Simulator input,中輸入仿真波形文件名,*在對話框中單擊,Start,,即可開始功能仿真,*在對話框中單擊,Report,,可查看、核對輸出波形,21,五、對原理圖設(shè)計文件進行硬件測試,1,、器件設(shè)置及引腳鎖定,器件設(shè)置,該步驟可缺省,*,從菜單,AssignmentsDevice,進入,可重新選擇器件,*單擊,Device&Pin Options,,可配置,Unused Pins,狀態(tài)等,引腳鎖定,*從菜單,AssignmentsDevice,進入,進入,Pin Planner,22,*,在,Pin Planner,中,針對原理

10、圖所有管腳,即,Node Name,,依次雙擊對應(yīng)的,Location,欄,在出現(xiàn)的下拉列表中選擇合適的器件引腳,*,保存引腳鎖定信息至文件,可使用工具條、或從菜單進入,*,再編譯一次,,,把引腳鎖定信息編譯到下載文件中,(.sof,或,.pof),23,2,、編程下載設(shè)計文件,-,只介紹,JTAG,編程模式,JTAG,模式可用編譯好的,SOF,文件直接對,FPGA,器件進行配置,連接硬件,*,斷開實驗箱電源,,用,ByteBlasterMV,或,ByteBlaster,下載電纜連接好計算機并口與實驗箱的開發(fā)板,然后,打開電源,設(shè)置編程器,-,初次安裝或改變下載電纜時,*選擇菜單,ToolsP

11、rogramer,,進入編程窗口,*,單擊,Hardware Setup,,彈出,Hardware Setup,對話框,24,*,單擊,Add Hardware,,在所彈出對話框的,Hardware type,中選擇,ByteBlasterMV or ByteBlaster,*,回到編程窗口,其第一行將顯示相應(yīng)的硬件類型信息,提示:,未連接電纜或斷開實驗箱電源時,將會出現(xiàn)異常,待換,待換,25,選擇編程模式及配置文件,*在編程窗口,Mode,欄中,選擇,JTAG,模式,*核對下載文件路徑及文件名,可用,Add File,手工選擇,*選中下載文件的,Program/Configure,復(fù)選框,待換,26,配置下載,*在編程窗口中,單擊,Start,,對目標(biāo),FPGA,器件配置下載,*,下載失敗時,根據(jù)提示的錯誤信息,作相應(yīng)處理,*,下載成功后,即可進行所設(shè)計電路的硬件調(diào)試、測試,待換,End,

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!