單片機(jī)智能交通燈實(shí)訓(xùn)報(bào)告程序

上傳人:新**** 文檔編號(hào):27279644 上傳時(shí)間:2021-08-17 格式:DOCX 頁(yè)數(shù):22 大小:442.43KB
收藏 版權(quán)申訴 舉報(bào) 下載
單片機(jī)智能交通燈實(shí)訓(xùn)報(bào)告程序_第1頁(yè)
第1頁(yè) / 共22頁(yè)
單片機(jī)智能交通燈實(shí)訓(xùn)報(bào)告程序_第2頁(yè)
第2頁(yè) / 共22頁(yè)
單片機(jī)智能交通燈實(shí)訓(xùn)報(bào)告程序_第3頁(yè)
第3頁(yè) / 共22頁(yè)

下載文檔到電腦,查找使用更方便

12 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《單片機(jī)智能交通燈實(shí)訓(xùn)報(bào)告程序》由會(huì)員分享,可在線閱讀,更多相關(guān)《單片機(jī)智能交通燈實(shí)訓(xùn)報(bào)告程序(22頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、鍵入文字天津電子信息職業(yè)技術(shù)學(xué)院課程設(shè)計(jì)課題名稱簡(jiǎn)易交通燈控制器的設(shè)計(jì)姓 名 趙玉婷學(xué)號(hào)22班 級(jí) 通信S11-1專業(yè)通信技術(shù)所在系 電子技術(shù)系指導(dǎo)教師 曹金玲完成日期2012年12月27日智能交通燈電路設(shè)計(jì)與制作摘要交通在人們的日常生活中占有重要的地位,隨著人們社會(huì)活動(dòng)的日益頻繁,這點(diǎn)更是 體現(xiàn)的淋漓盡致。交通信號(hào)燈的出現(xiàn),使交通得以有效管制,對(duì)于疏導(dǎo)交通流量、提高道 路通行能力,減少交通事故有明顯效果。近年來(lái)隨著科技的飛速發(fā)展,單片機(jī)的應(yīng)用正在 不斷深入,同時(shí)帶動(dòng)傳統(tǒng)控制檢測(cè)技術(shù)日益更新。在實(shí)時(shí)檢測(cè)和自動(dòng)控制的單片機(jī)應(yīng)用系 統(tǒng)中,單片機(jī)往往作為一個(gè)核心部件來(lái)使用,僅單片機(jī)方面知識(shí)是不夠的,

2、還應(yīng)根據(jù)具體 硬件結(jié)構(gòu)軟硬件結(jié)合,加以完善。本課題采用C52單片機(jī)為中心器件來(lái)設(shè)計(jì)交通燈控制器,系統(tǒng)實(shí)用性強(qiáng)、操作簡(jiǎn)單、 拓展性強(qiáng)。本設(shè)計(jì)就是采用單片機(jī)模擬十字路口交通燈的各種狀態(tài)顯示。本設(shè)計(jì)系統(tǒng)有單片機(jī)I/O擴(kuò)展系統(tǒng)、單片機(jī)的最小系統(tǒng)、復(fù)位電路、LED等幾大部分組成。關(guān)鍵詞:電子線路;AT89C52 ; LED交通燈;單片機(jī)的最小系統(tǒng)。10目錄一、緒論 51.研究背景 52,交通控制系統(tǒng)國(guó)內(nèi)外發(fā)展?fàn)顩r 53.本文的主要內(nèi)容 6二、元器件簡(jiǎn)介61 .單片機(jī)的特點(diǎn) 62 .AT89C52單片機(jī)簡(jiǎn)介 73 .AT89C52主要工作特性 74 .AT89C52主要性能 75 .AT89S52功能特性

3、描述 76 .LED相關(guān)原理: 97 .LED顯示器中的發(fā)光二極管共有兩種連接方法: 98 .數(shù)碼管簡(jiǎn)介: 10三、設(shè)計(jì)的基本要求、步驟和方案 101 .交通燈電路設(shè)計(jì)基本要求:102 .設(shè)計(jì)步驟(接線說(shuō)明與連接方式) : 10四、總體設(shè)計(jì)方案 111 .設(shè)計(jì)思路 112 .設(shè)計(jì)目的 113 .設(shè)計(jì)任務(wù)和內(nèi)容 124 .電源提供方案 125 .復(fù)位方案 126 .輸入方案 127 .電路原理圖 138 .交通燈電路流程圖: 149. PCB1M理展示 16五、顯示界面方案 16六、設(shè)計(jì)方框圖 17七、設(shè)計(jì)原理分析 181 .交通燈顯示時(shí)序的理論分析與計(jì)算 182 .交通燈顯示時(shí)間的理論分析與計(jì)

4、算 20八、結(jié)論 20九、謝辭 21十、參考文獻(xiàn) 21十一、附錄 21、緒論1 .研究背景社會(huì)在發(fā)展,經(jīng)濟(jì)突飛猛進(jìn)的同時(shí),城市化進(jìn)程也在不斷加深,機(jī)動(dòng)車已成為城市生 活不可或缺的代步工具,交通問(wèn)題也漸漸成為城市的通病。擁擠的交通成為消耗時(shí)間、能 源以及交通事故的和環(huán)境污染的主要癥結(jié)。交通燈的發(fā)明和發(fā)展,很好的解決了和保障了 交通的有序性和安全性。對(duì)人民生活水平的提高和城市經(jīng)濟(jì)的發(fā)展來(lái)說(shuō)交通作為城市經(jīng)濟(jì)生活的命脈起著不 可替代的作用。為了想很好的解決現(xiàn)代交通問(wèn)題,最大程度的利用道路的價(jià)值,只能交通 燈控制系統(tǒng)應(yīng)運(yùn)而生,并受到世界的廣泛關(guān)注和重視。因此對(duì)新型交通燈控制系統(tǒng)的設(shè)計(jì) 和應(yīng)用對(duì)解決交通擁

5、堵和提高道路利用率有著十分現(xiàn)實(shí)的意義。目前,為了解決城市交通需求量迅速增加的問(wèn)題,主要采用以下兩種方法:首先,在交通基礎(chǔ)設(shè)施建設(shè)、道路新建、合理規(guī)劃道路線路等“硬件”發(fā)面要有所加強(qiáng);其次,優(yōu) 化的服務(wù)理念和智能化的管理控制在“軟件”方面的改造在發(fā)揮現(xiàn)有道路的通行潛力和提 開(kāi)通行率做出有益貢獻(xiàn)。比較之下,后者太短期內(nèi)以很少的投資便可以取得實(shí)效。因此,先進(jìn)的自動(dòng)化控制方法在交通控制系統(tǒng)中的應(yīng)用成為亟待解決的問(wèn)題之一。目 前擺在我們面前的問(wèn)題是:如何將先進(jìn)的智能控制算法和嵌入式系統(tǒng)相結(jié)合并研發(fā)出高性 價(jià)比和適合中國(guó)國(guó)情的交通控制器。本設(shè)計(jì)針對(duì)基于 ATS89C52單片機(jī)的交通信號(hào)燈的自動(dòng)控制系統(tǒng)在交

6、通控制中的實(shí)現(xiàn) 進(jìn)行研究,將具有重要的理論意義和實(shí)際的應(yīng)用價(jià)值。2 .交通控制系統(tǒng)國(guó)內(nèi)外發(fā)展?fàn)顩r在數(shù)百年的交通燈發(fā)展史上,最早的非手工控制路口交通是在1868年倫敦使用燃?xì)馍珶粜盘?hào)對(duì)單個(gè)路口的控制;首次聯(lián)網(wǎng)控制的交通燈系統(tǒng)是加拿大多倫多市1963年使用舊M650型計(jì)算機(jī)作為集中協(xié)調(diào)器的交通控制系統(tǒng)并以此為標(biāo)志,進(jìn)入智能交通燈控制系統(tǒng) 新階段。到目前為止,人們相繼完成了很多區(qū)域交通控制系統(tǒng),其中最有代表性的有 TRANSYTSCOOT SCATS RHODES。世界上已有300多個(gè)大城市采用了先進(jìn)的區(qū)域自適 應(yīng)式交通信號(hào)控制系統(tǒng)(TASCS, Traffic Adaptive Signal Co

7、ntrol System ,即交通面 控制系統(tǒng))近年來(lái),路口附近裝有磁環(huán)路檢測(cè)器等先進(jìn)檢測(cè)裝置,并由它們將交通控制參 數(shù)通過(guò)電話線、閉路電視等通訊網(wǎng)絡(luò)送入微處理器由小型計(jì)算機(jī)集中控制的交通控制系統(tǒng)在美、澳和歐洲國(guó)家的某些城市建立起來(lái)。在我國(guó)建國(guó)六十年來(lái),特別是改革開(kāi)放以后,我國(guó)交通基礎(chǔ)建設(shè)和城市發(fā)展取得了巨 大的成績(jī),但是,僅以現(xiàn)在的速度還遠(yuǎn)不能滿足迅猛發(fā)展的汽車化、城市化的發(fā)展。我國(guó) 現(xiàn)代化交通發(fā)展比較晚,由于國(guó)情的差別,交通狀況也與國(guó)外有很大差別,自前主開(kāi)發(fā)的 城市交通控制系統(tǒng)在整體性上比國(guó)外同類系統(tǒng)有較大差距,表現(xiàn)在:(1)城市道路結(jié)構(gòu)不合理。(2)交通出行結(jié)構(gòu)失衡。(3)交通管理技術(shù)水

8、平低,交通事故頻繁。為了能夠?qū)崿F(xiàn)城市佳通控制系統(tǒng)的實(shí)時(shí)自適應(yīng)最優(yōu)控制的目標(biāo),探索一個(gè)有效的控制 方法是很有效的途徑。3 .本文的主要內(nèi)容本論文的開(kāi)發(fā)工作主要內(nèi)容:第一章緒論部分,介紹了該課題的研究背景,研究意義等;第二章介紹了課題分析和方案論證以及需求分析;第三章詳細(xì)介紹了本系統(tǒng)設(shè)計(jì)的相關(guān)原理與硬件設(shè)計(jì)理論等;第四章具體介紹了系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn),以及交通燈概述等最后為結(jié)論,對(duì)本課題的研究工作進(jìn)行了總結(jié),指出了研究工作的創(chuàng)新點(diǎn)和意義。并 對(duì)未來(lái)的工作進(jìn)行展望。、元器件簡(jiǎn)介1.單片機(jī)的特點(diǎn)(1)性價(jià)比高,開(kāi)發(fā)周期短,易于產(chǎn)品化,(2)集成度高,可靠性好,抗干擾性強(qiáng),(3)功能完善,接口多樣,(4)低

9、功耗、低電壓一般電源供電電壓在53V范圍內(nèi)單片機(jī)都能正常工作,供電的下限可達(dá) 12V。(5)總線多樣,易于擴(kuò)展單片機(jī)外部的典型三總線結(jié)構(gòu),方便系統(tǒng)構(gòu)擴(kuò)展,構(gòu)成各種規(guī)模的應(yīng)用系統(tǒng)。外部總線增加了 I2C及SPI等串行總線方式,可根據(jù)需要進(jìn)行并行或者串行擴(kuò)展。2.AT89C52 單片機(jī)簡(jiǎn)介T2/P1. 0口bV ccT之 EX/F1. 1=iF0 0 /AD 0F 1 . 2PFO 1/ADIF1 . 35 tF02/AD2F 1 , 4FO. 3/AD3MDSI/F1 , 5FO. 4/AD4MT SO/P1.6PO. 5Z AD5SCK/ P1 . 7PO.ADSRST口PO. 7/AD 7口

10、EA/VPPTXD/P3 130pALE/PROGIMTO/P3 22 9FENI ITT 1 /F 3.3F2. r,/ A 1 sTU/F3 414=1T1 /F 3 5:1 5F2 5/A13isP2. 4/Al 2ItD/F3, 71 TP2. 3/Al 1XTAL21 8P2. 2/ A 1 0XTAL 11 9P2. 1 尸 A9PDIFGKD20P2. O/AS3.AT89C52主要工作特性片內(nèi)程序存儲(chǔ)器內(nèi)含8KB的Flash程序存儲(chǔ)器,可擦寫(xiě)壽命為1000次;片內(nèi)數(shù)據(jù)存儲(chǔ)器內(nèi)含256字節(jié)的RAM具有32根可編程I/O 口線;具有3個(gè)可編程定時(shí)器;中斷系統(tǒng)是具有8個(gè)中斷源、6個(gè)中

11、斷矢量、2個(gè)級(jí)優(yōu)先權(quán)的中斷結(jié)構(gòu);用行口是具有一個(gè)全雙工的可編程串行通信口 ;具有一個(gè)數(shù)據(jù)指針DPTR低功耗工作模式有空閑模式和掉電模式;具有可編程的3級(jí)程序鎖定位;AT89C52X作電源電壓為5 (1+0.2) V,且典型值為5V;AT89C52ft高工作頻率為24MHz4.AT89C52主要性能與MCS-51單片機(jī)產(chǎn)品兼容、8K字節(jié)在系統(tǒng)可編程Flash存儲(chǔ)器、1000次擦寫(xiě)周期、 全靜態(tài)操作:0Hz33Hz、三級(jí)加密程序存儲(chǔ)器、32個(gè)可編程I/O 口線、三個(gè)16位 定時(shí)器/計(jì)數(shù)器 八個(gè)中斷源、全雙工UARTH亍通道、 低功耗空閑和掉電模式、掉電后 中斷可喚醒、看門狗定時(shí)器、雙數(shù)據(jù)指針、掉電

12、標(biāo)識(shí)符。5.AT89S52功能特性描述AT89S52g一種低功耗、高性能 CMOS8微控制器,具有8K在系統(tǒng)可編程Flash存 儲(chǔ)器。使用Atmel公司高密度非 易失性存儲(chǔ)器技術(shù)制造,與工業(yè) 80C51產(chǎn)品指令和引腳完全兼容。片上Flash允許程序存儲(chǔ)器在系統(tǒng)可編程,亦適于常規(guī)編程器。在單芯片上, 擁有靈巧的8位CPU和在系統(tǒng) 可編程Flash ,使得AT89S52為眾多嵌入式控制應(yīng)用系統(tǒng) 提 供高靈活、超有效的解決方案。AT89S52具有以下標(biāo)準(zhǔn)功能:8K字節(jié)Flash, 256字節(jié)RAM 32位I/O 口線,看門狗定時(shí)器,2個(gè)數(shù)據(jù)指針,三個(gè)16位 定時(shí)器/計(jì)數(shù)器, 一個(gè)6向量2級(jí)中斷結(jié)構(gòu),

13、全雙工用行口,片內(nèi)晶振及時(shí)鐘電路。另外,AT89S52可降至0Hz靜態(tài)邏 輯操作,支持2種軟件可選擇節(jié)電模式??臻e模式下,CPU停止工作,允 許RAM定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工 作。掉電保護(hù)方式下,RAM內(nèi)容被保存,振 蕩器被凍結(jié), 單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。8位微控制器8K字節(jié)在系統(tǒng)可編程Flash AT89S52P0 口: P0 口是一個(gè)8位漏極開(kāi)路的雙向I/O 口。作為輸出口,每位能驅(qū)動(dòng) 8個(gè)TTL 邏輯電平。對(duì)P0端口寫(xiě)“1”時(shí),引腳用作高阻抗輸入。當(dāng)訪問(wèn)外部程序和數(shù)據(jù)存儲(chǔ)器時(shí),P0 口也被作為低8位地址/數(shù)據(jù)復(fù)用。在這種模式下,P0具有內(nèi)部上拉電阻。在F

14、lash 編程時(shí),P0 口也用來(lái)接收指令字節(jié);在程序校驗(yàn)時(shí),輸出指令字節(jié)。程序校驗(yàn) 時(shí),需要 外部上拉電阻。P1 口: P1 口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O 口,P1輸出緩沖器能驅(qū)動(dòng)4個(gè) TTL邏輯電平。對(duì)P1端口寫(xiě)“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入 口 使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流( IIL )0此 外,P1.0和P1.2分別作定時(shí)器/計(jì)數(shù)器2的外部計(jì)數(shù)輸入(P1.0/T2 )和時(shí)器/計(jì)數(shù)器2的 觸發(fā)輸入(P1.1/T2EX)。在flash編程和校驗(yàn)時(shí),P1 口接收低8位地址字節(jié)。引腳號(hào)第二功能P1.0 T2 (定時(shí)器/計(jì)數(shù)器

15、T2的外部計(jì)數(shù)輸入),時(shí)鐘輸出P1.1 T2EX (定時(shí)器/計(jì)數(shù)器T2的捕捉/重載觸發(fā)信號(hào)和方向控制)P1.5 MOSI (在系統(tǒng)編程用)P1.6 MISO (在系統(tǒng)編程用)P1.7 SCK (在系統(tǒng)編程用)P2 口: P2 口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O 口,P2輸出緩沖器能驅(qū)動(dòng)4個(gè) TTL邏輯電平。對(duì)P2端口寫(xiě)“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使 用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流( IIL )。在 訪問(wèn)外部程序存儲(chǔ)器或用16位地址讀取外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行 MOVXgDPTR時(shí),P2 口送出高八位地址。在這種應(yīng)用中,P2 口使

16、用很強(qiáng)的內(nèi)部上拉發(fā)送 1。在使用8位地址 (如MOVX RI訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),P2 口輸出P2鎖存器的內(nèi)容。在flash編程和校驗(yàn)時(shí),P2 口也接收高8位地址字節(jié)和一些控制信號(hào)。P3 口: P3 口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O 口,p2輸出緩沖器能驅(qū)動(dòng)4個(gè) TTL邏輯電平。對(duì)P3端口寫(xiě)“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使 用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流( IIL )。P3 口亦作為AT89S52特殊功能(第二功能)使用。在flash編程和校驗(yàn)時(shí),P3 口也接收一些控制信號(hào)。端口引腳第二功能P3.0 RXD(串行輸入口)P3.1

17、 TXD(用行輸出口)P3.2 INTO(外中斷 0)P3.3 INT1(外中斷 1)P3.4 TO(定時(shí)/計(jì)數(shù)器0)P3.5 T1(定時(shí)/計(jì)數(shù)器1)P3.6 WR(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)P3.7 RD(外部數(shù)據(jù)存儲(chǔ)器讀選通)止匕外,P3口還接收一些用于FLASHY存編程和程序校驗(yàn)的控制信號(hào)。RST-復(fù)位輸入。當(dāng)振蕩器工作時(shí),RSHI腳出現(xiàn)兩個(gè)機(jī)器周期以上高電平將是單片 機(jī)復(fù)位。ALE/PRO當(dāng)訪問(wèn)外部程存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),ALE(地址鎖存允許)輸出脈沖用于鎖存地址的低8位字節(jié)。一般情況下,ALE仍以時(shí)鐘振蕩頻率的1/6輸出固定的脈沖信 號(hào),因此它可對(duì)外輸出時(shí)鐘或用于定時(shí)目的。要注意的是:

18、每當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí)將跳過(guò)一個(gè) ALE脈沖。對(duì)FLASHY儲(chǔ)器編程期間,該引腳還用于輸入編程脈沖(PRO6如有必要,可通過(guò)對(duì)特殊功能寄存器(SFR區(qū)中的8EH單元的D0位置位,可禁止ALE 操作。該位置位后,只有一條 MOV沏MOVCf令才能將ALE激活。止匕外,該引腳會(huì)被微弱 拉高,單片機(jī)執(zhí)行外部程序時(shí),應(yīng)設(shè)置 ALE禁止位無(wú)效。PSEN一程序儲(chǔ)存允許(PSEN輸出是外部程序存儲(chǔ)器的讀選通信號(hào),當(dāng) AT89C52ft 外部程序存儲(chǔ)器取指令(或數(shù)據(jù))時(shí),每個(gè)機(jī)器周期兩次PSEN有效,即輸出兩個(gè)脈沖,在此期間,當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器,將跳過(guò)兩次 PSENB號(hào)。EA/VPP-外部訪問(wèn)允許,欲使

19、CPK訪問(wèn)外部程序存儲(chǔ)器(地址為 0000H-FFFFH, EA端必須保持低電平(接地)。需注意的是:如果加密位 LB1被編程,復(fù)位時(shí)內(nèi)部會(huì)鎖存 EA端狀態(tài)。FLASH#儲(chǔ)器編程時(shí),該引腳加上+12V的編程允許電源Vpp,當(dāng)然這必須是該器件是 使用12V編程電壓Vppo6 .LED相關(guān)原理:LED (Light Emitting Diode ),發(fā)光二極管(圖3.2),它是將電轉(zhuǎn)化為光的固態(tài)半導(dǎo)體 器件。一端是負(fù)極,另一端連接正極的半導(dǎo)體晶片是LED的核心裝置,它被環(huán)氧樹(shù)脂封裝起來(lái)。由一個(gè)P型半導(dǎo)體和一個(gè)N型半導(dǎo)體組成圖3.3 “P-N結(jié)”的半導(dǎo)體晶片。當(dāng)有電 流通過(guò)時(shí),電子被推向P區(qū),在P區(qū)

20、里電子和空穴復(fù)合,之后就會(huì)以光子的形式發(fā)光。光 的顏色由P-N結(jié)的材料決定。3.5數(shù)碼管簡(jiǎn)介數(shù)碼管(圖3.3)是由發(fā)光二極管組成的半 導(dǎo)體發(fā)光器件,分為七段和八段數(shù)碼管。圖3.37 .LED顯示器中的發(fā)光二極管共有兩種連接方法:共陽(yáng)極接法:把發(fā)光二極管的陽(yáng)極連在一起構(gòu)成公共陽(yáng)極。使用時(shí)公共陽(yáng)極接+ 5V。陰極端輸入低電平的段發(fā)光二極管導(dǎo)通點(diǎn)亮,輸入高電平的則不點(diǎn)亮。共陰極接 法 把發(fā)光二極管的陰極連在一起構(gòu)成公共陰極。使用時(shí)會(huì)共陰極接地,陽(yáng)極端輸入高電 平的段發(fā)光二極管導(dǎo)通點(diǎn)亮,輸入低電平的則不點(diǎn)亮符號(hào)和引腳|*0I1)bocm-bico1ad A 匚二 * o- j f -joCZZZHX

21、OCL_T_2I 3 4dPOU 1,加M4C OH O+ SV gzzj- k3 -.L f.共陰極共陽(yáng)極8 .數(shù)碼管簡(jiǎn)介:分類:共陰極、共陽(yáng)極;靜態(tài)驅(qū)動(dòng)、動(dòng)態(tài)驅(qū)動(dòng)。原理:共陰極:所有二極管的陰極連接到 一起,將共陰極接地,當(dāng)某一字段二極管陽(yáng)極高電平,相應(yīng)字段就點(diǎn)亮。共陽(yáng)極:所有二 極管陽(yáng)極連接到一起,將共陽(yáng)極接+5V,當(dāng)某一字段二極管陰極低電平,相應(yīng)字段就點(diǎn)亮三、設(shè)計(jì)的基本要求、步驟和方案1 .交通燈電路設(shè)計(jì)基本要求:在萬(wàn)能板上實(shí)現(xiàn)以下功能:運(yùn)用所學(xué)的單片機(jī)知識(shí)進(jìn)行設(shè)計(jì),當(dāng)連接電源后,萬(wàn)能板 的東西方向上的綠燈會(huì)亮 25S,南北方向的紅燈會(huì)根據(jù)綠燈的時(shí)間來(lái)進(jìn)行統(tǒng)一設(shè)定,25S后,東西方向亮

22、5s黃燈,南北方向亮5s紅燈,5s后,東西方向亮起紅燈15S,南北方向 綠燈亮起15S, 15S后,東西方向紅燈亮?xí)r長(zhǎng)為5S,南北方向黃燈亮?xí)r長(zhǎng)為5S,數(shù)碼管倒 計(jì)時(shí)顯示當(dāng)前相位剩余時(shí)間。時(shí)間控制基于定時(shí)器實(shí)現(xiàn)。整個(gè)程序循環(huán)下來(lái)后,就實(shí)現(xiàn)了簡(jiǎn)易交通燈控制器電路設(shè)計(jì)的基本要求25s5s15s5s東西向綠燈黃燈紅燈紅燈南北向紅燈紅燈綠燈黃燈2 .設(shè)計(jì)步驟(接線說(shuō)明與連接方式)(1)簡(jiǎn)易交通燈硬件接線說(shuō)明:鍵入文字硬件平臺(tái)及用到的資源硬件平臺(tái):I51學(xué)習(xí)板。用到的資源:數(shù)碼管顯示、發(fā)光二極管等。單片機(jī)P0.1-P0.3、P0.5-P0.7依次控制南北方向的綠紅黃,東西方向的綠紅黃。(2)連線說(shuō)明:數(shù)

23、碼管顯示電路:段碼控制接口 P8用8P杜邦線連接單片機(jī)P2 口;位碼控制接口 P9用4P 杜邦線用4P杜邦線接單片機(jī)P3.4-P3.7。發(fā)光二極管電路:發(fā)光二極管控制端 P6用8P杜邦線連接單片機(jī)P0 口。四、總體設(shè)計(jì)方案1 .設(shè)計(jì)思路以單片機(jī)為核心器件,對(duì)系統(tǒng)進(jìn)行控制,外加輔助電路對(duì)系統(tǒng)參數(shù)進(jìn)行必要的設(shè)置和顯示。交通信號(hào)燈的顯示時(shí)間可以固定,也可用鍵盤重新設(shè)置,時(shí)間控制和LED顯示均由單片機(jī)進(jìn)行控制。交通信號(hào)燈控制系統(tǒng)主要可以分為定時(shí)、紅綠燈轉(zhuǎn)換、倒計(jì)時(shí)顯示等三 個(gè)控制模塊,單片機(jī)作為系統(tǒng)的控制單元,在系統(tǒng)中的作用主要有以下幾個(gè)方面:(1 )利用單片機(jī)中的定時(shí)器循環(huán)出一秒時(shí)間, 然后將它累計(jì)

24、到處于活動(dòng)狀態(tài)的寄存器中。寄存器里的計(jì)數(shù)是遞減的,一秒時(shí)問(wèn)到則減 1,直到計(jì)數(shù)為0,說(shuō)明定時(shí)時(shí)間到。(2)定時(shí)時(shí)間到后,單片機(jī)將對(duì)寄存器地址進(jìn)行判斷,不同的寄存器對(duì)應(yīng)不同的紅綠燈 顯示。(3)每次一秒時(shí)間到,寄存器自減1后,還要將寄存器中的值,也就是剩余的秒數(shù)反映到LED顯示器上,構(gòu)成倒計(jì)時(shí)顯示。(4)掃描鍵盤上的數(shù)值,并將它反映到特定的寄存器里,根據(jù)寄存器的存儲(chǔ)值對(duì)定時(shí)程 序進(jìn)行重新置數(shù)操作2 .設(shè)計(jì)目的(1)加強(qiáng)對(duì)單片機(jī)和匯編語(yǔ)言的認(rèn)識(shí),充分掌握和理解設(shè)計(jì)各部分的工作原理、設(shè)計(jì)過(guò)程、選擇芯片器件、模塊化編程等多項(xiàng)知識(shí)。(2)用單片機(jī)模擬實(shí)現(xiàn)具體應(yīng)用,使個(gè)人設(shè)計(jì)能夠真正使用。(3)把理論知識(shí)

25、與實(shí)踐相結(jié)合,充分發(fā)揮個(gè)人能力,并在實(shí)踐中鍛煉。(4)提高利用已學(xué)知識(shí)分析和解決問(wèn)題的能力(5)提高實(shí)踐動(dòng)手能力。3 .設(shè)計(jì)任務(wù)和內(nèi)容(1)設(shè)計(jì)任務(wù)單片機(jī)采用用AT89C52芯片,使用發(fā)光二極管(紅,黃,綠)代表各個(gè)路口的交通燈, 用8段數(shù)碼管對(duì)轉(zhuǎn)換時(shí)間進(jìn)行倒時(shí)(東西路口25秒,南北路口 20秒,黃燈時(shí)間5秒)。(2)設(shè)計(jì)內(nèi)容a設(shè)計(jì)并繪制硬件電路圖b制作PCB并焊接好元器件c編寫(xiě)程序并將調(diào)試好的程序固化到單片機(jī)中4 .電源提供方案為使模塊穩(wěn)定工作,須有可靠電源,采用單片機(jī)控制模塊提供電源。 此方案的優(yōu)點(diǎn)是 系統(tǒng)簡(jiǎn)明扼要,節(jié)約成本;缺點(diǎn)是輸出功率不高。5 .復(fù)位方案復(fù)位方式有兩種:按鍵復(fù)位與軟件

26、復(fù)位。由考慮到程序的簡(jiǎn)潔,避免冗長(zhǎng),本設(shè)計(jì)采 用按鍵復(fù)位,在芯片的復(fù)位端口外接復(fù)位電路,通過(guò)按鍵對(duì)單片機(jī)輸入一個(gè)高電平脈沖, 達(dá)到復(fù)位的目的。6 .輸入方案方案一:采用89C52擴(kuò)展I/O 口及鍵盤,顯示等。該方案的優(yōu)點(diǎn)是:使用靈活可編程,并且有 RAM及計(jì)數(shù)器。若用該方案,可提供較多I/O但操作起來(lái)稍顯復(fù)雜。 方 :直接在IO 口線上接上按鍵開(kāi)關(guān)。因?yàn)樵O(shè)計(jì)時(shí)精簡(jiǎn)和優(yōu)化了電路,所以剩余的口資源 還比較多,我們使用2個(gè)按鍵,分別是K1、K2。由于該系統(tǒng)對(duì)于交通燈及數(shù)碼管的控制,只用單片機(jī)本身的I/O 口就可實(shí)現(xiàn),且本身 的計(jì)數(shù)器及RAMG經(jīng)夠用,故選擇方案二7.電路原理圖(1)電路原理圖:LTs

27、TTeh ri.lLl TTTJTT-1113上一丁nv:J:EX=P11pg 憂ADC)Pl 2PCi3(AD)PL?的冷函PL4明 *:3JXWAD 步(SCKJP17RST由飛由力弟燦津0rrxDP3iA1LPH0G(IN哈啦3IN3Ml:iP23網(wǎng)M&閨1135PL5i3)出野里5KL3力赳JP里.P 口閨心XTAL2P1X4 附XTAL1P1X幅GNDPUXAE)2521ATSK51-1 r a -r- J * irk * S %12二1T片三百 EJfl力加(2)復(fù)位電路:復(fù)位方式有多種,本設(shè)計(jì)采用按鍵復(fù)位。接線圖如圖程序 復(fù)位電路U1KTAL1C3 匚m球 行JTTAElRSTR

28、17團(tuán)絲在設(shè)定的定時(shí)時(shí)向內(nèi),, 89C52宓;須施RSHI腳產(chǎn)生一個(gè)由高到低的電平變化,以清內(nèi)部定時(shí)器.(3)晶振電路:PUCAP圖9晶振模塊原理圖選取原則:傳統(tǒng)做法,但能夠?qū)崿F(xiàn)所需,即最簡(jiǎn)單也最是實(shí)用。電容選取30pF,晶振為 12MHz8 .交通燈電路流程圖:設(shè)置中斷工作方式、觸發(fā)方式、初始化* 運(yùn)行過(guò)程 -技行中斷圖為中斷主程序22行過(guò)程:LED顯示程序9 . PCB原理展示五、顯示界面方案該系統(tǒng)要求完成倒計(jì)時(shí)、狀態(tài)燈等功能。基于上述原因,我們考慮了三種方案:萬(wàn)案一:完全采用數(shù)碼管顯示。這種方案只顯示有限的符號(hào)和數(shù)碼字符,無(wú)法勝任題目要求。萬(wàn)案一:完全采用點(diǎn)陣式LED顯示。這種方案實(shí)現(xiàn)復(fù)

29、雜,且須完成大量的軟件工作;但功能強(qiáng) 大,可方便的顯示各種英文字符,漢字,圖形等。方案二:采用數(shù)碼管與點(diǎn)陣LED (點(diǎn)陣式和8段式LED相結(jié)合的方法因?yàn)樵O(shè)計(jì)既要求倒計(jì)時(shí) 數(shù)字輸出,又要求有狀態(tài)燈輸出等,為方便觀看并考慮到現(xiàn)實(shí)情況,用數(shù)碼管與LED燈分別顯示時(shí)間與提示信息。這種方案既滿足系統(tǒng)功能要求,又減少了系統(tǒng)實(shí)現(xiàn)的復(fù)雜度。權(quán) 衡利弊,第三種方案可互補(bǔ)一二方案的優(yōu)缺,我們決定采用方案三以實(shí)現(xiàn)系統(tǒng)的顯示功能。 設(shè)計(jì)方框圖整個(gè)設(shè)計(jì)以AT89C52單片機(jī)為核心,由數(shù)碼管顯示,LED數(shù)碼管顯示,復(fù)位電路組成。 硬件模塊入圖1-1 o六、設(shè)計(jì)方框圖交 通 燈 循倒 計(jì) 時(shí) 顯 示強(qiáng)通車控制圖4 (總框圖

30、)七、設(shè)計(jì)原理分析1 .交通燈顯示時(shí)序的理論分析與計(jì)算對(duì)于一個(gè)交通路口來(lái)說(shuō),能在最短的時(shí)間內(nèi)達(dá)到最大的車流量,就算是達(dá)到了最佳的性能,我們稱在單位時(shí)間內(nèi)多能達(dá)到的最大車流為車流量,用公式:車流量 =車流/時(shí)間 來(lái)表示。先設(shè)定一些標(biāo)號(hào)如圖5所示。產(chǎn)人均C 二 n * JI /百二新( rfJ 1fcH與F#工燈工Ehg工說(shuō)明:此圖為直方圖,上邊為北路口燈,右邊為東路口燈,下邊為南路口燈,左邊為西路口 燈。圖2 2所示為一種紅綠燈規(guī)則的狀態(tài)圖,分別設(shè)定為 S1、S2、S& S4,交通燈以這 四的狀態(tài)為一個(gè)周期,循環(huán)執(zhí)行(見(jiàn)圖 6)。ID 2-la專劇轉(zhuǎn)駁秋嘉SI 2-1b軍解而混根定S2黑2“ k

31、等例段t梗慝S3想2紐單鐫節(jié)駛糊您54圖6請(qǐng)注意圖21b和圖21d,它們?cè)谝粋€(gè)時(shí)間段中四個(gè)方向都可以通車,這種狀態(tài)能 在一定的時(shí)間內(nèi)達(dá)到較大的車流量,效率特別高。依據(jù)上述的車輛行駛的狀態(tài)圖,可以列出各個(gè)路口燈的邏輯表,由于相向的燈的狀態(tài)圖是一樣的,所以只需寫(xiě)出相鄰路口的燈的邏輯表;根據(jù)圖 2-3可以看出,相鄰路口的燈它們的狀態(tài)在相位上相差180。因此最終只需寫(xiě)出一組S1、S2、S& S4的邏輯狀態(tài)表,如表7所小外能是北方為前進(jìn)方向的狀態(tài)衢環(huán),內(nèi)圈是東方為前進(jìn)方向的狀態(tài)循環(huán)國(guó)次通燈的狀忐渣1S1的狀態(tài)ABCDErGH 7 1 d ri r / IX4XXXXX顯小時(shí)間從40秒FF始學(xué):0科S2的

32、伏態(tài)ABCDEFGH邏場(chǎng)值VX7XXX4X顯示時(shí)間從30秒汗始到。眇表中的“X”代表是紅燈亮(也代表邏輯上的 0),是代表綠燈亮(也代表邏輯上的1),依上表,就可以向相應(yīng)的端口送邏輯值。2 .交通燈顯示時(shí)間的理論分析與計(jì)算東西和南北方向的放行時(shí)間的長(zhǎng)短是依據(jù)路口的各個(gè)方向平時(shí)的車流量來(lái)設(shè)定,并且S1、S2、S& S4各個(gè)狀態(tài)保持的時(shí)間之有嚴(yán)格的對(duì)應(yīng)關(guān)系,其公式如下示。T-S1+T-S2=T-S3T-S2=T-S4T-S1=T-S3我們可以依據(jù)上述的標(biāo)準(zhǔn)來(lái)改變車輛的放行時(shí)間。按照一般的規(guī)則,一個(gè)十字路口可分為主干道和次干道,主干道的放行時(shí)間大于次干道的放行時(shí)間。八、結(jié)論在剛拿到課程設(shè)計(jì)任務(wù)書(shū)時(shí),

33、確實(shí)覺(jué)得有點(diǎn)犯難,因?yàn)檫@種綜合硬件和軟件的設(shè)計(jì)對(duì) 于我們剛學(xué)了基礎(chǔ)知識(shí)對(duì)硬件還不是太熟,有點(diǎn)不知從哪下手,通過(guò)查資料,翻課本,對(duì) 各個(gè)地方知識(shí)的復(fù)習(xí),同學(xué)的指導(dǎo),才明白了硬件的控制原理和控制方法,才有了程序設(shè) 計(jì)的初步思路。通過(guò)這次課程設(shè)計(jì),使我得到了一次用專業(yè)知識(shí)、專業(yè)技能分析和解決問(wèn)題全面系統(tǒng) 的鍛煉。使我在單片機(jī)的基本原理、單片機(jī)應(yīng)用系統(tǒng)開(kāi)發(fā)過(guò)程、以及在常用編程設(shè)計(jì)思路 技巧的掌握方面都能向前邁了一大步。本次課程設(shè)計(jì)的過(guò)程是艱辛的,不過(guò)收獲卻是很大 的。在設(shè)計(jì)過(guò)程中會(huì)出現(xiàn)了一些問(wèn)題,但都是常見(jiàn)的小問(wèn)題,如,在調(diào)試時(shí)出現(xiàn)異常,不過(guò)這些都是經(jīng)常性錯(cuò)誤,經(jīng)過(guò)調(diào)試修改都一一解決,程序順利完成,并

34、實(shí)現(xiàn)了其功能。 綜合課程設(shè)計(jì)讓我把以前學(xué)習(xí)到的知識(shí)得到鞏固和進(jìn)一步的提高認(rèn)識(shí),對(duì)已有知識(shí)有了更 進(jìn)一步的理解和認(rèn)識(shí)。通過(guò)這次課程設(shè)計(jì),我也發(fā)現(xiàn)了自身的很多不足之處在以后的學(xué)習(xí) 中我會(huì)不斷的完善自我。九、謝辭單片機(jī)的課程設(shè)計(jì),在不斷的努力,不斷的詢問(wèn),不斷的修改之下總算是圓滿完成,在這 里要感謝的人太多太多!首先,感謝我們尊敬的曹老師,有了他的諄諄教誨,處處提點(diǎn), 我才得以成功在預(yù)定時(shí)間內(nèi)的完成。曹老師一次次給我們指出原理圖的錯(cuò)誤,讓我們反反 復(fù)復(fù)地修改再重新繪制。老師對(duì)我們要求嚴(yán)格,這是對(duì)我們的負(fù)責(zé),所謂嚴(yán)師出高徒。而 我自由散漫的性格弱點(diǎn)也說(shuō)明了我需要這樣的老師來(lái)對(duì)我進(jìn)行督促和鞭策,我學(xué)到的

35、不僅 僅只有書(shū)本上的知識(shí),還有做人的道理。她嚴(yán)肅的科學(xué)態(tài)度,嚴(yán)謹(jǐn)?shù)闹螌W(xué)精神,精益求精 的工作作風(fēng),深深地感染和激勵(lì)著我。在此謹(jǐn)向曹老師致以誠(chéng)摯的謝意和崇高的敬意。其次,我要感謝的是我親愛(ài)的同學(xué)們,如果沒(méi)有他們的幫助,學(xué)習(xí)單片機(jī)也是一門很吃力的 科目,正所謂星星之火可以燎原,在他們的幫助下,所有的坎坷都會(huì)成功的邁過(guò),所以我 要感謝他們的幫助。謝謝!十、參考文獻(xiàn)1劉松,曹金玲.單片機(jī)技術(shù)與應(yīng)用.北京:機(jī)械工業(yè)出版社.2何立民.單片機(jī)高級(jí)教程M.北京:北京航空航天大學(xué)出版社,2000.3王幸之,等.AT89系列單片機(jī)原理與接口技術(shù)M.北京:北京航空航天大學(xué)出版社,2004.4 5 6 卜一、附錄交通

36、燈程序源代碼:#includereg52.h/宏包含MCS-52系列單片機(jī)的頭文件#define uchar unsigned char#define uint unsigned intuchar code DM=0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0xff; /數(shù)碼管段碼數(shù)uchar code WM=0x7f,0xbf; /位碼數(shù)組uchar code XW=0xde,25,0xdb,5,0xed,15,0xf9,5; 相位數(shù)組void delay (int); 延時(shí)函數(shù)聲明uchar n50ms,GL; /定義變量 延時(shí)為50

37、毫秒綠燈顯示時(shí)間uchar SX2=10,10; /顯示數(shù)組/*主程序*/void intital();main() intital();while(1) /循環(huán)變量 uchar i,j;for(;GL=0&j=20)/ 小于等于 1 秒n50ms=0;/消零GL-=1;/綠燈時(shí)間減1倒計(jì)時(shí)for(i=0;i2;i+) /送顯示 P3=WMi;/位碼顯示P2=DMSXi;/ 斷碼顯示delay(5);/延時(shí)5個(gè)周期void timer0isr() interrupt 1 /中斷服務(wù)程序入口定時(shí)器0中斷1TH0=(65536-50000)/256; / 取 8 位的商數(shù)TL0=(65536-50

38、000)%256; / 取 8 位的余數(shù)n50ms+;/ 50 毫秒自增 1/*中斷方式*/void intital()TMOD|=0X01;/設(shè)置為內(nèi)部定時(shí)器、軟件啟動(dòng)、Moder1TH0=(65536-50000)/256;/ T0 (Moder0)計(jì)數(shù)高 8 位TL0=(65536-50000)%256;/ T0 ( Moder0) 計(jì)數(shù)低 8 位ET0=1;/開(kāi)啟定時(shí)器EA=1;啟動(dòng)中斷TR0=1;/啟動(dòng)定時(shí)器/*延時(shí)子程*/void delay(uint x) uint i,j;/聲明整數(shù)組變量i,jfor(i=0;ix;i+)/ 計(jì)數(shù) x 次,延時(shí) X*1msfor(j=0;j120;j+); 計(jì)數(shù) 120 次,延時(shí) 1ms /延時(shí)程序結(jié)束程序運(yùn)行效果圖: 注釋:此圖為本組成功實(shí)現(xiàn)交通燈控制器設(shè)計(jì)后的圖片,主干道南北方向紅燈與支道東西 方向綠燈一同亮25S,顯示器上以倒計(jì)時(shí)的形式對(duì)東西南北各方向道路紅燈、黃燈和綠燈 顯示亮著的的時(shí)間進(jìn)行控制。

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!