計(jì)算機(jī)組成原理第二章補(bǔ)充課件(白中英編-科學(xué)出版社)

上傳人:簡(jiǎn)****9 文檔編號(hào):28941624 上傳時(shí)間:2021-09-21 格式:PPT 頁(yè)數(shù):133 大小:1.58MB
收藏 版權(quán)申訴 舉報(bào) 下載
計(jì)算機(jī)組成原理第二章補(bǔ)充課件(白中英編-科學(xué)出版社)_第1頁(yè)
第1頁(yè) / 共133頁(yè)
計(jì)算機(jī)組成原理第二章補(bǔ)充課件(白中英編-科學(xué)出版社)_第2頁(yè)
第2頁(yè) / 共133頁(yè)
計(jì)算機(jī)組成原理第二章補(bǔ)充課件(白中英編-科學(xué)出版社)_第3頁(yè)
第3頁(yè) / 共133頁(yè)

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《計(jì)算機(jī)組成原理第二章補(bǔ)充課件(白中英編-科學(xué)出版社)》由會(huì)員分享,可在線閱讀,更多相關(guān)《計(jì)算機(jī)組成原理第二章補(bǔ)充課件(白中英編-科學(xué)出版社)(133頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、任課教師:陳宇斌南昌航空大學(xué)計(jì)算機(jī)學(xué)院軟件工程系Email: Tel: 13970933876鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容2第一章 計(jì)算機(jī)系統(tǒng)概論第二章 運(yùn)算方法和運(yùn)算器(補(bǔ):數(shù)字邏輯)第三章 存儲(chǔ)系統(tǒng)第四章 指令系統(tǒng) 第五章 中央處理器 第六章 總線系統(tǒng) 第七章 外圍設(shè)備 第八章 輸入輸出系統(tǒng)第九章 并行組織目錄鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容3l參考書參考書王爾乾,數(shù)字邏輯與數(shù)字集成電路第2版, 清華大學(xué)出版社,2002鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容4&第一部分 邏輯代數(shù)&第二部分 邏輯門

2、電路&第三部分 組合邏輯電路&第四部分 時(shí)序邏輯電路數(shù)字邏輯目錄計(jì)算機(jī)組成原理數(shù)字邏輯計(jì)算機(jī)系統(tǒng)的軟硬件功能分配計(jì)算機(jī)系統(tǒng)的邏輯實(shí)現(xiàn)計(jì)算機(jī)組成的物理實(shí)現(xiàn)+V-V電壓p2p時(shí)間+V-V電壓p2p時(shí)間+V-V電壓p2p時(shí)間鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容111.1.邏輯代數(shù)邏輯代數(shù)1.1 數(shù)字電路的基礎(chǔ)知識(shí)1.2 基本邏輯關(guān)系1.3 邏輯代數(shù)及運(yùn)算規(guī)則 1.4 邏輯函數(shù)的表示法1.5 邏輯函數(shù)的化簡(jiǎn)(12)1.1 數(shù)字電路的基礎(chǔ)知識(shí)數(shù)字電路的基礎(chǔ)知識(shí)數(shù)字信號(hào)和模擬信號(hào)數(shù)字信號(hào)和模擬信號(hào)電電子子電電路路中中的的信信號(hào)號(hào)模擬信號(hào)模擬信號(hào)數(shù)字信號(hào)數(shù)字信號(hào)時(shí)間連續(xù)的信號(hào)時(shí)間

3、連續(xù)的信號(hào)時(shí)間和幅度都是離散的時(shí)間和幅度都是離散的例:正弦波信號(hào)、鋸齒波信號(hào)等。例:正弦波信號(hào)、鋸齒波信號(hào)等。例:產(chǎn)品數(shù)量的統(tǒng)計(jì)、數(shù)字表盤例:產(chǎn)品數(shù)量的統(tǒng)計(jì)、數(shù)字表盤的讀數(shù)、數(shù)字電路信號(hào)等。的讀數(shù)、數(shù)字電路信號(hào)等。(13)模擬信號(hào)模擬信號(hào)tV(t)tV(t)數(shù)字信號(hào)數(shù)字信號(hào)高電平高電平低電平低電平上跳沿上跳沿下跳沿下跳沿(14)模擬電路主要研究:模擬電路主要研究:輸入、輸出信號(hào)間的大小、輸入、輸出信號(hào)間的大小、相位、失真等方面的關(guān)系。主要采用電路分相位、失真等方面的關(guān)系。主要采用電路分析方法,動(dòng)態(tài)性能用微變等效電路分析。析方法,動(dòng)態(tài)性能用微變等效電路分析。在模擬電路中,晶體管一般工作在線性放大

4、區(qū);在模擬電路中,晶體管一般工作在線性放大區(qū);在數(shù)字電路中,三極管工作在開(kāi)關(guān)狀態(tài),即工在數(shù)字電路中,三極管工作在開(kāi)關(guān)狀態(tài),即工作在飽和區(qū)和截止區(qū)。作在飽和區(qū)和截止區(qū)。 數(shù)字電路主要研究:數(shù)字電路主要研究:電路輸出、輸入間的邏輯關(guān)電路輸出、輸入間的邏輯關(guān)系。主要的工具是邏輯代數(shù),電路的功能用真系。主要的工具是邏輯代數(shù),電路的功能用真值表、邏輯表達(dá)式及波形圖表示。值表、邏輯表達(dá)式及波形圖表示。模擬電路與數(shù)字電路比較模擬電路與數(shù)字電路比較1.電路的特點(diǎn)電路的特點(diǎn)2.研究的內(nèi)容研究的內(nèi)容(15)模擬電路研究的問(wèn)題模擬電路研究的問(wèn)題基本電路元件基本電路元件:基本模擬電路基本模擬電路:晶體三極管晶體三極管

5、場(chǎng)效應(yīng)管場(chǎng)效應(yīng)管集成電路運(yùn)算放大器集成電路運(yùn)算放大器 信號(hào)放大及運(yùn)算信號(hào)放大及運(yùn)算 (信號(hào)放大、功率放大)信號(hào)放大、功率放大) 信號(hào)處理(采樣保持、電壓比較、有源濾波)信號(hào)處理(采樣保持、電壓比較、有源濾波) 信號(hào)發(fā)生(正弦波發(fā)生器、三角波發(fā)生器、信號(hào)發(fā)生(正弦波發(fā)生器、三角波發(fā)生器、)(16)數(shù)字電路研究的問(wèn)題數(shù)字電路研究的問(wèn)題基本電路元件基本電路元件基本數(shù)字電路基本數(shù)字電路 邏輯門電路邏輯門電路 觸發(fā)器觸發(fā)器 組合邏輯電路組合邏輯電路 時(shí)序電路(寄存器、計(jì)數(shù)器、脈沖發(fā)生器、時(shí)序電路(寄存器、計(jì)數(shù)器、脈沖發(fā)生器、 脈沖整形電路)脈沖整形電路) A/D轉(zhuǎn)換器、轉(zhuǎn)換器、D/A轉(zhuǎn)換器轉(zhuǎn)換器(17)

6、1.2 基本邏輯關(guān)系基本邏輯關(guān)系一、一、“與與”邏輯邏輯與邏輯:與邏輯:決定事件發(fā)生的各條件中,所有條件決定事件發(fā)生的各條件中,所有條件都具備,事件才會(huì)發(fā)生(成立)都具備,事件才會(huì)發(fā)生(成立)規(guī)定規(guī)定: 開(kāi)關(guān)合為邏輯開(kāi)關(guān)合為邏輯“1” 開(kāi)關(guān)斷為邏輯開(kāi)關(guān)斷為邏輯“0” 燈亮為邏輯燈亮為邏輯“1” 燈滅為邏輯燈滅為邏輯“0” EFAB邏輯邏輯:指事物的前因和后果所遵循的規(guī)律:指事物的前因和后果所遵循的規(guī)律邏輯狀態(tài)邏輯狀態(tài):邏輯:邏輯“真真”為為“”,邏輯,邏輯“假假”為為“”基本邏輯關(guān)系基本邏輯關(guān)系:與:與 ( and ) 或或 (or ) 非非 ( not )(18)&ABF邏輯符號(hào):邏輯符號(hào):

7、邏輯式:邏輯式:FA B邏輯乘法邏輯乘法邏輯與邏輯與真值表特點(diǎn)真值表特點(diǎn): 任任0 則則0, 全全1則則1與邏輯運(yùn)算規(guī)則:與邏輯運(yùn)算規(guī)則:0 0=0 0 1=01 0=0 1 1=1EFAB真值表真值表AFB000100010111(19)二、二、 “或或”邏輯邏輯AEFB或邏輯或邏輯:決定事件發(fā)生的各條件中,有一個(gè)或一個(gè)決定事件發(fā)生的各條件中,有一個(gè)或一個(gè)以上的條件具備,事件就會(huì)發(fā)生(成立)以上的條件具備,事件就會(huì)發(fā)生(成立)規(guī)定規(guī)定: 開(kāi)關(guān)合為邏輯開(kāi)關(guān)合為邏輯“1” 開(kāi)關(guān)斷為邏輯開(kāi)關(guān)斷為邏輯“0” 燈亮為邏輯燈亮為邏輯“1” 燈滅為邏輯燈滅為邏輯“0” (20) 1ABF邏輯符號(hào):邏輯符號(hào)

8、:邏輯式:邏輯式:FAB邏輯加法邏輯加法邏輯或邏輯或真值表特點(diǎn):真值表特點(diǎn): 任任1 則則1, 全全0則則0?;蜻壿嬤\(yùn)算規(guī)則或邏輯運(yùn)算規(guī)則:0+0=0 0+1=11+0=1 1+1=1AEFB真值表真值表AFB000101011111(21)三、三、 “非非”邏邏輯輯“非非”邏輯:邏輯:決定事件發(fā)生的條件只有一個(gè),條件決定事件發(fā)生的條件只有一個(gè),條件不具備時(shí)事件發(fā)生(成立),條件具備不具備時(shí)事件發(fā)生(成立),條件具備時(shí)事件不發(fā)生。時(shí)事件不發(fā)生。規(guī)定規(guī)定: 開(kāi)關(guān)合為邏輯開(kāi)關(guān)合為邏輯“1” 開(kāi)關(guān)斷為邏輯開(kāi)關(guān)斷為邏輯“0” 燈亮為邏輯燈亮為邏輯“1” 燈滅為邏輯燈滅為邏輯“0” AEFR(22)邏輯

9、符號(hào):邏輯符號(hào):邏輯非邏輯非邏輯反邏輯反AF0110真值表真值表AEFR真值表特點(diǎn)真值表特點(diǎn): 1則則0,0則則1。AF 邏輯式:邏輯式:運(yùn)算規(guī)則:運(yùn)算規(guī)則:10,01AF1(23)四、幾種常用的邏輯關(guān)系邏輯四、幾種常用的邏輯關(guān)系邏輯“與與”、“或或”、“非非”是三種基本的邏輯是三種基本的邏輯關(guān)系,任何其它的邏輯關(guān)系都可以以它們?yōu)榛P(guān)系,任何其它的邏輯關(guān)系都可以以它們?yōu)榛A(chǔ)表示。礎(chǔ)表示。BAF與非:與非:條件條件A、B都具備,都具備,則則F 不發(fā)生不發(fā)生&ABF其他幾種常用的邏輯關(guān)系如下表:其他幾種常用的邏輯關(guān)系如下表:(24)BAF或非:或非:條件條件A、B任一具備,任一具備,則則F 不發(fā)生

10、不發(fā)生 1ABFBABABAF 異或:異或:條件條件A、B有一個(gè)具有一個(gè)具備,另一個(gè)不備,另一個(gè)不具備則具備則F 發(fā)生發(fā)生=1ABF同或:同或:條件條件A、B相同,則相同,則F 發(fā)生發(fā)生=1ABFBABAABF (25)基本邏輯關(guān)系小結(jié)&ABFABF11FA&ABFABF1=1ABF表示式表示式F=ABF=A+BF= A BAF ABF BAFABFABF國(guó)標(biāo)國(guó)標(biāo)慣用慣用國(guó)外國(guó)外與與或或非非與非與非或非或非異或異或邏輯邏輯符號(hào)符號(hào)ABFABF AFAFAABFBFABF ABFABFABF (26)與或非門與或非門CDAB +ABCDFABCDF& 1&(27)1.3 邏輯代數(shù)及運(yùn)算規(guī)則邏輯代

11、數(shù)及運(yùn)算規(guī)則邏輯代數(shù)邏輯代數(shù):二進(jìn)制運(yùn)算的基礎(chǔ)。:二進(jìn)制運(yùn)算的基礎(chǔ)。 應(yīng)用代數(shù)方法研究邏輯問(wèn)題。由英國(guó)數(shù)應(yīng)用代數(shù)方法研究邏輯問(wèn)題。由英國(guó)數(shù)學(xué)家布爾學(xué)家布爾(Boole)和德和德.摩根于摩根于1847年提出,又叫年提出,又叫布爾代數(shù),開(kāi)關(guān)代數(shù)。布爾代數(shù),開(kāi)關(guān)代數(shù)。(28)1.3 邏輯代數(shù)及運(yùn)算規(guī)則邏輯代數(shù)及運(yùn)算規(guī)則數(shù)字電路要研究的是電路的輸入輸出之間的數(shù)字電路要研究的是電路的輸入輸出之間的邏輯關(guān)系,所以數(shù)字電路又稱邏輯關(guān)系,所以數(shù)字電路又稱邏輯電路邏輯電路,相應(yīng)的,相應(yīng)的研究工具是研究工具是邏輯代數(shù)(布爾代數(shù))邏輯代數(shù)(布爾代數(shù))。在邏輯代數(shù)中,邏輯函數(shù)的變量只能取兩個(gè)在邏輯代數(shù)中,邏輯函數(shù)的變

12、量只能取兩個(gè)值(值(二值變量二值變量),即),即0和和1,中間值沒(méi)有意義。,中間值沒(méi)有意義。0和和1表示兩個(gè)對(duì)立的邏輯狀態(tài),不是數(shù)值表示兩個(gè)對(duì)立的邏輯狀態(tài),不是數(shù)值0和和1v邏輯變量邏輯變量具有邏輯屬性的變量具有邏輯屬性的變量v邏輯表達(dá)式邏輯表達(dá)式也可稱作邏輯函數(shù),描述也可稱作邏輯函數(shù),描述邏輯自邏輯自變量和邏輯因變量之間的邏輯關(guān)系變量和邏輯因變量之間的邏輯關(guān)系(29)1.3.1 邏輯代數(shù)的基本運(yùn)算規(guī)則邏輯代數(shù)的基本運(yùn)算規(guī)則加運(yùn)算規(guī)則加運(yùn)算規(guī)則:0+0=0 ,0+1=1 ,1+0=1,1+1=1乘運(yùn)算規(guī)則乘運(yùn)算規(guī)則:00=0 01=0 10=0 11=1非運(yùn)算規(guī)則非運(yùn)算規(guī)則:1001 AA 0

13、,1,00 AAAAAAAA1, 11,0 AAAAAAAA(30)1.3.2 邏輯代數(shù)的運(yùn)算規(guī)律邏輯代數(shù)的運(yùn)算規(guī)律一、交換律一、交換律二、結(jié)合律二、結(jié)合律三、分配律三、分配律A+B=B+AA B=B AA+(B+C)=(A+B)+C=(A+C)+BA (B C)=(A B) CA(B+C)=A B+A CA+B C=(A+B)(A+C)(31)求證求證: (分配律第(分配律第2條)條) A+BC=(A+B)(A+C)證明證明:右邊右邊 =(A+B)(A+C)=AA+AB+AC+BC ; 分配律分配律=A +A(B+C)+BC ; 結(jié)合律結(jié)合律 , AA=A=A(1+B+C)+BC ; 結(jié)合律

14、結(jié)合律=A 1+BC ; 1+B+C=1=A+BC ; A 1=1=左邊左邊(32)四、吸收規(guī)則四、吸收規(guī)則1.原變量原變量的吸收:的吸收: A+AB=A證明:證明:A+AB=A(1+B)=A1=A利用運(yùn)算規(guī)則可以對(duì)邏輯式進(jìn)行化簡(jiǎn)。利用運(yùn)算規(guī)則可以對(duì)邏輯式進(jìn)行化簡(jiǎn)。例如:例如:CDAB)FE(DABCDAB 被吸收被吸收吸收是指吸收多余(吸收是指吸收多余(冗余冗余)項(xiàng),多余()項(xiàng),多余(冗冗余余)因子被取消、去掉)因子被取消、去掉 被消化了。被消化了。長(zhǎng)中含短,長(zhǎng)中含短,留下短。留下短。(33)2.反變量反變量的吸收:的吸收:BABAA 證明:證明:BAABABAA BA)AA(BA 例如:例

15、如:DEBCADCBCAA 被吸收被吸收長(zhǎng)中含反,長(zhǎng)中含反,去掉反。去掉反。字母上面沒(méi)有非運(yùn)算符的叫做原變量字母上面沒(méi)有非運(yùn)算符的叫做原變量有非運(yùn)算符的叫做反變量有非運(yùn)算符的叫做反變量(34)五、反演定理五、反演定理BABABABA ABAB0001111010110110010111110000BA ABBA 可以用列真值表的方法證明:可以用列真值表的方法證明:德德 摩根摩根 (De Morgan)定理:定理:(35)反演定理內(nèi)容:反演定理內(nèi)容:將函數(shù)式將函數(shù)式 F 中所有的中所有的 + 變量與常數(shù)均取反變量與常數(shù)均取反 (求反運(yùn)算)(求反運(yùn)算)互補(bǔ)運(yùn)算互補(bǔ)運(yùn)算1.運(yùn)算順序:先括號(hào)運(yùn)算順序:

16、先括號(hào) 再乘法再乘法 后加法。后加法。2.不是一個(gè)變量上的反號(hào)不動(dòng)。不是一個(gè)變量上的反號(hào)不動(dòng)。注意注意:用處:用處:實(shí)現(xiàn)互補(bǔ)運(yùn)算(求反運(yùn)算)。實(shí)現(xiàn)互補(bǔ)運(yùn)算(求反運(yùn)算)。新表達(dá)式:新表達(dá)式:F顯然:顯然:FF (變換時(shí),原函數(shù)運(yùn)算的先后順序不變變換時(shí),原函數(shù)運(yùn)算的先后順序不變)(反函數(shù),補(bǔ)函數(shù)反函數(shù),補(bǔ)函數(shù))(36)例題:例題:1)()(1 DCBAF01 DCBAF與或式與或式注意括號(hào)注意括號(hào)注意注意括號(hào)括號(hào)01 DCBAFDBDACBCAF 1(37)1.4 邏輯函數(shù)的表示法邏輯函數(shù)的表示法四種表示方法四種表示方法邏輯代數(shù)式邏輯代數(shù)式 (邏輯表示式邏輯表示式, 邏輯函數(shù)式邏輯函數(shù)式)11&1

17、ABY 邏輯電路圖邏輯電路圖:卡諾圖卡諾圖n2n個(gè)輸入變量個(gè)輸入變量 種組合種組合。真值表:真值表:將邏輯函數(shù)輸入變量取值的不同組合將邏輯函數(shù)輸入變量取值的不同組合與所對(duì)應(yīng)的輸出變量值用列表的方式與所對(duì)應(yīng)的輸出變量值用列表的方式一一對(duì)應(yīng)列出的表格。一一對(duì)應(yīng)列出的表格。BABAF (38)將輸入、輸出的所有可能狀態(tài)一一對(duì)應(yīng)地列將輸入、輸出的所有可能狀態(tài)一一對(duì)應(yīng)地列出。出。 n個(gè)變量可以有個(gè)變量可以有2n個(gè)輸入狀態(tài)。個(gè)輸入狀態(tài)。1.4.1 真值表真值表列真值表的方法:列真值表的方法:一般按二進(jìn)制的順一般按二進(jìn)制的順序,輸出與輸入狀序,輸出與輸入狀態(tài)一一對(duì)應(yīng),列出態(tài)一一對(duì)應(yīng),列出所有可能的狀態(tài)。所有

18、可能的狀態(tài)。(39)1.4.2 邏輯函數(shù)式邏輯函數(shù)式邏輯代數(shù)式:邏輯代數(shù)式:把邏輯函數(shù)的輸入、輸出關(guān)把邏輯函數(shù)的輸入、輸出關(guān)系寫成與、或、非等邏輯運(yùn)算的組合系寫成與、或、非等邏輯運(yùn)算的組合式。也稱為邏輯函數(shù)式,式。也稱為邏輯函數(shù)式,通常采用通常采用“與或與或”的形式。的形式。例:例:ABCCBACBACBACBAF 與普通代數(shù)不同的是,在邏輯代數(shù)中,不管是變與普通代數(shù)不同的是,在邏輯代數(shù)中,不管是變量還是函數(shù),其取值都只能是量還是函數(shù),其取值都只能是0或或1,并且這里的,并且這里的0和和1只表示兩種不同的狀態(tài),沒(méi)有數(shù)量的含義。只表示兩種不同的狀態(tài),沒(méi)有數(shù)量的含義。(40)一個(gè)邏輯函數(shù)的表達(dá)式可

19、以有與或表達(dá)式、一個(gè)邏輯函數(shù)的表達(dá)式可以有與或表達(dá)式、或與表達(dá)式、與非或與表達(dá)式、與非-與非表達(dá)式、或非與非表達(dá)式、或非-或非或非表達(dá)式、與或非表達(dá)式表達(dá)式、與或非表達(dá)式5種表示形式種表示形式一種形式的函數(shù)表達(dá)式相應(yīng)于一種邏輯電路。盡一種形式的函數(shù)表達(dá)式相應(yīng)于一種邏輯電路。盡管一個(gè)邏輯函數(shù)表達(dá)式的各種表示形式不同,但管一個(gè)邏輯函數(shù)表達(dá)式的各種表示形式不同,但邏輯功能是相同的邏輯功能是相同的(1)與或表達(dá)式:ACBAY(2)或與表達(dá)式:Y)(CABA(3)與非-與非表達(dá)式:Y ACBA(4)或非-或非表達(dá)式:YCABA(5)與或非表達(dá)式:YCABA(41)邏輯函數(shù)的標(biāo)準(zhǔn)形式&邏輯函數(shù)可以表示為邏

20、輯函數(shù)可以表示為最小項(xiàng)最小項(xiàng)之和的形式之和的形式(與或表達(dá)式)或者(與或表達(dá)式)或者最大項(xiàng)最大項(xiàng)之積的形式之積的形式(或與表達(dá)式)(或與表達(dá)式)&應(yīng)用最多的是最小項(xiàng)之和的形式,也叫應(yīng)用最多的是最小項(xiàng)之和的形式,也叫最小項(xiàng)標(biāo)準(zhǔn)式最小項(xiàng)標(biāo)準(zhǔn)式。&最小項(xiàng)也是卡諾圖化簡(jiǎn)的基礎(chǔ)。最小項(xiàng)也是卡諾圖化簡(jiǎn)的基礎(chǔ)。BACK(42)最小項(xiàng)(MinTerm) 邏輯函數(shù)有邏輯函數(shù)有n個(gè)變量,由它們組成的具有個(gè)變量,由它們組成的具有n個(gè)變量的乘積項(xiàng)中,每個(gè)變量以原變量或個(gè)變量的乘積項(xiàng)中,每個(gè)變量以原變量或反變量的形式出現(xiàn)且僅出現(xiàn)一次,這個(gè)乘反變量的形式出現(xiàn)且僅出現(xiàn)一次,這個(gè)乘積項(xiàng)為最小項(xiàng)。積項(xiàng)為最小項(xiàng)。N個(gè)變量有個(gè)變量

21、有2n個(gè)最小項(xiàng)。個(gè)最小項(xiàng)。 例如:n=3,對(duì)A、B、C,有8個(gè)最小項(xiàng)ABCBCACBACBACABCBACBACBA(43)最小項(xiàng)(續(xù)) 對(duì)任意最小項(xiàng),只有一組變量取值使它的值為1,其他取值使該最小項(xiàng)為0 為方便起見(jiàn),將最小項(xiàng)表示為min=3的8個(gè)最小項(xiàng)為:ABCmBCAmCBAmCBAmCABmCBAmCBAmCBAm76543210(44)最小項(xiàng)(續(xù)) 任何邏輯函數(shù)均可表示為唯一的一組最小項(xiàng)之和任何邏輯函數(shù)均可表示為唯一的一組最小項(xiàng)之和的形式,稱為標(biāo)準(zhǔn)的與或表達(dá)式的形式,稱為標(biāo)準(zhǔn)的與或表達(dá)式 某一最小項(xiàng)不是包含在某一最小項(xiàng)不是包含在F的原函數(shù)中,就是包含在的原函數(shù)中,就是包含在F的反函數(shù)中

22、的反函數(shù)中 例:)7 , 6 , 4 , 2()()(34726mmmmmCBAABCCBABCACBABCAACCBACBABCBAF(45)最大項(xiàng)(MaxTerm) n個(gè)變量組成的或項(xiàng),每個(gè)變量以原變量或反變量的形式出現(xiàn)且僅出現(xiàn)一次,則稱這個(gè)或項(xiàng)為最大項(xiàng)例如:n=3的最大項(xiàng)為CBAMCBAMCBAMCBAMCBAMCBAMCBAMCBAM76543210(46)最大項(xiàng)(續(xù))對(duì)任意一個(gè)最大項(xiàng),只有一組變量取值使它的值為0,而變量的其他取值使該項(xiàng)為1將最大項(xiàng)記作Mi任何一個(gè)邏輯函數(shù)均可表示為唯一的一組最大項(xiàng)之積,稱為標(biāo)準(zhǔn)的或與表達(dá)式n個(gè)變量全體最大項(xiàng)之積必為“0”某個(gè)最大項(xiàng)不是含在F的原函數(shù)中

23、,就是在F的反函數(shù)中(47)最大項(xiàng)(續(xù))例如:)4 , 1 , 0()()()()()()()(3140MMMMCBACBACBACBACCBACBABAFBACK(48)1.4.3 卡諾圖卡諾圖卡諾圖的構(gòu)成:卡諾圖的構(gòu)成:將將n個(gè)輸入變量的全部最小項(xiàng)用個(gè)輸入變量的全部最小項(xiàng)用小方塊陣列圖表示,并且將邏輯相鄰的最小小方塊陣列圖表示,并且將邏輯相鄰的最小項(xiàng)放在相鄰的幾何位置上,所得到的陣列圖項(xiàng)放在相鄰的幾何位置上,所得到的陣列圖就是就是n變量的卡諾圖。變量的卡諾圖。最小項(xiàng):最小項(xiàng):構(gòu)成邏輯函數(shù)的基本單元。對(duì)應(yīng)于輸入變構(gòu)成邏輯函數(shù)的基本單元。對(duì)應(yīng)于輸入變量的每一種組合。量的每一種組合。變量賦值為變

24、量賦值為1時(shí)用該變量表示(時(shí)用該變量表示(原變量原變量)變量賦值為變量賦值為0時(shí)用該變量的反來(lái)表示(時(shí)用該變量的反來(lái)表示(反變量反變量)邏輯相鄰:邏輯相鄰:若兩個(gè)最小項(xiàng)只有一個(gè)變量以原、反區(qū)若兩個(gè)最小項(xiàng)只有一個(gè)變量以原、反區(qū)別,其他變量均相同,則稱這兩個(gè)最小項(xiàng)邏輯別,其他變量均相同,則稱這兩個(gè)最小項(xiàng)邏輯相鄰。相鄰。(49)最小項(xiàng)最小項(xiàng):輸入變量的每一種組合。輸入變量的每一種組合。 A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB01010111輸出變量輸出變量Y的值的值輸入變量輸入變量二輸入變量卡諾圖二輸入變量卡諾圖卡諾圖的每一個(gè)方塊(最小項(xiàng))代表一種輸入組卡諾圖的每一個(gè)方塊(最

25、小項(xiàng))代表一種輸入組合,并且把對(duì)應(yīng)的輸入組合注明在陣列圖的上方合,并且把對(duì)應(yīng)的輸入組合注明在陣列圖的上方和左方。和左方。(50)1.4.4 邏輯圖邏輯圖把相應(yīng)的邏輯關(guān)系用邏輯符號(hào)和連線表示把相應(yīng)的邏輯關(guān)系用邏輯符號(hào)和連線表示出來(lái),就構(gòu)成了邏輯圖。出來(lái),就構(gòu)成了邏輯圖。&AB&CD 1FF=AB+CD(51)1.4.5 邏輯函數(shù)四種表示方式的相互轉(zhuǎn)換邏輯函數(shù)四種表示方式的相互轉(zhuǎn)換一、邏輯電路圖一、邏輯電路圖邏輯代數(shù)式邏輯代數(shù)式BABY=A B+ABA BA1&AB&11(52) 二、真值表二、真值表卡諾圖卡諾圖 A B Y 0 0 1 0 1 1 1 0 1 1 1 0二變量卡諾圖二變量卡諾圖真

26、值表真值表AB10101110(53)三、真值表、卡諾圖三、真值表、卡諾圖邏輯代數(shù)式邏輯代數(shù)式方法:方法:將真值表或卡諾圖中為將真值表或卡諾圖中為1的的項(xiàng)相加,寫成項(xiàng)相加,寫成 “與或式與或式” 真值表真值表 A B Y 0 0 1 0 1 1 1 0 1 1 1 0AB0 1010111AB實(shí)際上實(shí)際上這這是與非門的真值表是與非門的真值表: YAB故故此邏輯代數(shù)式并非是最簡(jiǎn)單的形式,此邏輯代數(shù)式并非是最簡(jiǎn)單的形式,需要需要化簡(jiǎn)化簡(jiǎn)ABABBABABAY (54)1.5 邏輯函數(shù)的化簡(jiǎn)邏輯函數(shù)的化簡(jiǎn)最簡(jiǎn)與或式最簡(jiǎn)與或式乘積項(xiàng)的乘積項(xiàng)的項(xiàng)數(shù)最少。項(xiàng)數(shù)最少。每個(gè)乘積項(xiàng)中每個(gè)乘積項(xiàng)中變量個(gè)數(shù)最少。變

27、量個(gè)數(shù)最少。邏輯函數(shù)化簡(jiǎn)的意義:邏輯表達(dá)式越簡(jiǎn)單,實(shí)邏輯函數(shù)化簡(jiǎn)的意義:邏輯表達(dá)式越簡(jiǎn)單,實(shí)現(xiàn)它的電路越簡(jiǎn)單,電路工作越穩(wěn)定可靠。現(xiàn)它的電路越簡(jiǎn)單,電路工作越穩(wěn)定可靠。利用邏輯代數(shù)的基本公式利用邏輯代數(shù)的基本公式邏輯函數(shù)的公式化簡(jiǎn)法就是運(yùn)用邏輯代數(shù)的基本公式、邏輯函數(shù)的公式化簡(jiǎn)法就是運(yùn)用邏輯代數(shù)的基本公式、定理和規(guī)則來(lái)化簡(jiǎn)邏輯函數(shù)。定理和規(guī)則來(lái)化簡(jiǎn)邏輯函數(shù)。利用卡諾圖化簡(jiǎn)利用卡諾圖化簡(jiǎn)卡諾圖適用于輸入變量為卡諾圖適用于輸入變量為3、4個(gè)的邏輯代數(shù)式的個(gè)的邏輯代數(shù)式的化簡(jiǎn);化簡(jiǎn)過(guò)程比公式法簡(jiǎn)單直觀?;?jiǎn);化簡(jiǎn)過(guò)程比公式法簡(jiǎn)單直觀。(55)利用邏輯代數(shù)的基本公式利用邏輯代數(shù)的基本公式例例1:ABA

28、C)BC(A)BCB(AABCBA)CC(ABCBAABCCABCBAF 反變量吸收反變量吸收提出提出AB=1提出提出A(56)例例2:CBBCBAABF )(CBBCBAAB )(反演反演CBAABCCCBAAB )()(配項(xiàng)配項(xiàng)CBBCAABCCBACBAAB 被吸收被吸收被吸收被吸收CBBBCAAB )(CBCAAB 利利用用邏邏輯輯代代數(shù)數(shù)的的基基本本公公式式(57)卡諾圖化簡(jiǎn)的步驟 按照循環(huán)碼規(guī)律指定卡諾圖變量取值;按照循環(huán)碼規(guī)律指定卡諾圖變量取值;在函數(shù)最小項(xiàng)對(duì)應(yīng)的小方塊填在函數(shù)最小項(xiàng)對(duì)應(yīng)的小方塊填“1”1”,其他方塊填,其他方塊填“0”0”;合并相鄰填合并相鄰填“1”1”的小方塊

29、,兩個(gè)方塊合并消去一的小方塊,兩個(gè)方塊合并消去一個(gè)變量(一維塊);個(gè)變量(一維塊);4 4個(gè)方塊合并消去兩個(gè)變量個(gè)方塊合并消去兩個(gè)變量(二維塊);(二維塊);合并過(guò)程中先找大圈合并,圈越大消去的變量越多;合并過(guò)程中先找大圈合并,圈越大消去的變量越多;使每一最小項(xiàng)至少被合并包含過(guò)一次;每個(gè)合并的使每一最小項(xiàng)至少被合并包含過(guò)一次;每個(gè)合并的圈中,至少要有一個(gè)圈中,至少要有一個(gè)“1”1”沒(méi)有被圈過(guò),否則這個(gè)沒(méi)有被圈過(guò),否則這個(gè)圈就是多余的。圈就是多余的。鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容582.2.邏輯門電路邏輯門電路2.1 概述2.2 門電路的實(shí)現(xiàn)2.3 集成電路 2

30、.4 OC門2.5 三態(tài)門(59)2.1 概述概述門電路:門電路:實(shí)現(xiàn)基本邏輯運(yùn)算和常用復(fù)合邏輯運(yùn)算的實(shí)現(xiàn)基本邏輯運(yùn)算和常用復(fù)合邏輯運(yùn)算的電子電路(邏輯器件)電子電路(邏輯器件)門電路的主要類型:門電路的主要類型:與門、或門、與非門、或非與門、或門、與非門、或非門、異或門等。門、異或門等。門電路的輸出狀態(tài)與賦值對(duì)應(yīng)關(guān)系:門電路的輸出狀態(tài)與賦值對(duì)應(yīng)關(guān)系:正邏輯:正邏輯:高電位對(duì)應(yīng)高電位對(duì)應(yīng)“1”;低電位對(duì)應(yīng);低電位對(duì)應(yīng)“0”?;旌线壿嫞夯旌线壿嫞狠斎胗谜壿?、輸出用負(fù)邏輯;或者輸輸入用正邏輯、輸出用負(fù)邏輯;或者輸入用負(fù)邏輯、輸出用正邏輯。入用負(fù)邏輯、輸出用正邏輯。一般采用一般采用正邏輯正邏輯負(fù)邏

31、輯:負(fù)邏輯:高電位對(duì)應(yīng)高電位對(duì)應(yīng)“0”;低電位對(duì)應(yīng);低電位對(duì)應(yīng)“1”。(60)負(fù)邏輯門符號(hào)畫法負(fù)邏輯門符號(hào)畫法 在對(duì)應(yīng)的輸入端、輸出端上加一個(gè)小圓圈在對(duì)應(yīng)的輸入端、輸出端上加一個(gè)小圓圈O(表示相反的含義)加以區(qū)別(表示相反的含義)加以區(qū)別 小圓圈當(dāng)作非號(hào),一條線兩端同時(shí)加上或消去小圓圈當(dāng)作非號(hào),一條線兩端同時(shí)加上或消去小圓圈邏輯功能不變小圓圈邏輯功能不變 一條線上小圓圈從一端可移到另一端,其邏輯一條線上小圓圈從一端可移到另一端,其邏輯功能不變功能不變 在一個(gè)邏輯符號(hào)的輸入端和輸出端同時(shí)加上在一個(gè)邏輯符號(hào)的輸入端和輸出端同時(shí)加上(或去掉)小圓圈,并將加小圓圈的門如是與(或去掉)小圓圈,并將加小圓

32、圈的門如是與門改為或門,如是或門改為與門,其邏輯功能門改為或門,如是或門改為與門,其邏輯功能不變不變(61)門門(電子開(kāi)關(guān)電子開(kāi)關(guān))滿足一定條件時(shí),電路允滿足一定條件時(shí),電路允 許信號(hào)通過(guò)許信號(hào)通過(guò) 開(kāi)關(guān)接通開(kāi)關(guān)接通 。開(kāi)門狀態(tài):開(kāi)門狀態(tài):關(guān)門狀態(tài):關(guān)門狀態(tài):條件不滿足時(shí),信號(hào)通不條件不滿足時(shí),信號(hào)通不過(guò)過(guò) 開(kāi)關(guān)斷開(kāi)開(kāi)關(guān)斷開(kāi) 。(62)100VVcc在數(shù)字電路中,對(duì)電壓值為多少并不重要,在數(shù)字電路中,對(duì)電壓值為多少并不重要,只要能判斷高低電平即可。只要能判斷高低電平即可。K開(kāi)開(kāi)-VO輸出高電平,對(duì)應(yīng)輸出高電平,對(duì)應(yīng)“1”K合合-VO輸出低電平,對(duì)應(yīng)輸出低電平,對(duì)應(yīng)“0”VOKVccR V V(

33、63)開(kāi)關(guān)開(kāi)關(guān)作用作用二極管二極管反向截止:反向截止:開(kāi)關(guān)接通開(kāi)關(guān)接通開(kāi)關(guān)斷開(kāi)開(kāi)關(guān)斷開(kāi)三極管三極管(C,E)飽和區(qū):飽和區(qū): 截止區(qū):截止區(qū):開(kāi)關(guān)接通開(kāi)關(guān)接通CEB開(kāi)關(guān)斷開(kāi)開(kāi)關(guān)斷開(kāi) 正向?qū)ǎ赫驅(qū)ǎ?CEB(64)2.2 門電路的實(shí)現(xiàn)門電路的實(shí)現(xiàn)1. 分立元件門電路分立元件門電路體積大、工作不可靠體積大、工作不可靠需要不同電源需要不同電源各種門的輸入、輸出電平不匹配各種門的輸入、輸出電平不匹配2. 集成電路集成電路TTL型門電路型門電路輸入和輸出端結(jié)構(gòu)都采用半導(dǎo)體晶體管(輸入和輸出端結(jié)構(gòu)都采用半導(dǎo)體晶體管(TTL: Transistor-Transistor Logic)優(yōu)點(diǎn)是開(kāi)關(guān)速度較高

34、,抗干擾能力較強(qiáng),帶負(fù)載的優(yōu)點(diǎn)是開(kāi)關(guān)速度較高,抗干擾能力較強(qiáng),帶負(fù)載的能力也比較強(qiáng),缺點(diǎn)是功耗較大能力也比較強(qiáng),缺點(diǎn)是功耗較大缺點(diǎn)缺點(diǎn)(65)金屬金屬-氧化物氧化物-半導(dǎo)體場(chǎng)效應(yīng)管半導(dǎo)體場(chǎng)效應(yīng)管MOS( Metel-Oxide-Semiconductor Field Effect Transister )缺點(diǎn):缺點(diǎn):工作速度比工作速度比TTL低低 2. 電壓控制元件,靜態(tài)功耗小電壓控制元件,靜態(tài)功耗小3. 允許電源電壓范圍寬(允許電源電壓范圍寬(3 18V)4. 扇出系數(shù)大,抗噪聲容限大扇出系數(shù)大,抗噪聲容限大優(yōu)點(diǎn)優(yōu)點(diǎn)1. 工藝簡(jiǎn)單,集成度高工藝簡(jiǎn)單,集成度高扇入系數(shù)扇入系數(shù):門電路允許的輸入

35、端數(shù)目,一般不超過(guò):門電路允許的輸入端數(shù)目,一般不超過(guò)8扇出系數(shù)扇出系數(shù):門的輸出端能連接的下一級(jí)輸入端的個(gè)數(shù),一般為:門的輸出端能連接的下一級(jí)輸入端的個(gè)數(shù),一般為83. 集成電路集成電路MOS型門電路型門電路(66)在一塊半導(dǎo)體基片上制作出一個(gè)完整的邏輯電路在一塊半導(dǎo)體基片上制作出一個(gè)完整的邏輯電路所需要的全部元件和連線。使用時(shí)接:電源、輸所需要的全部元件和連線。使用時(shí)接:電源、輸入和輸出。數(shù)字集成電路具有體積小、可靠性高、入和輸出。數(shù)字集成電路具有體積小、可靠性高、速度快、而且價(jià)格便宜的特點(diǎn)。速度快、而且價(jià)格便宜的特點(diǎn)。邏輯門數(shù)邏輯門數(shù)10個(gè)以下:個(gè)以下:小規(guī)模小規(guī)模集成電路集成電路 (

36、Small Scale Integration :SSI ) 邏輯門數(shù)邏輯門數(shù)1099個(gè):個(gè):中規(guī)模中規(guī)模集成電路集成電路(Medium Scale Integration :MSI ) 邏輯門數(shù)邏輯門數(shù)1009999個(gè):個(gè):大規(guī)模大規(guī)模集成電路集成電路( Large Scale Integration :LSI ) 邏輯門數(shù)邏輯門數(shù)10000個(gè)以上:個(gè)以上:超大規(guī)模超大規(guī)模集成電路集成電路( Very Large Scale Integration :VLSI ) 2.3 集成電路集成電路IC(67)集成電路規(guī)模的劃分,目前在際上尚無(wú)嚴(yán)格、確切的定義。在發(fā)展過(guò)程中,逐漸形成一種近似的劃分意見(jiàn)

37、,按芯片上所含邏輯門電路或晶體管的個(gè)數(shù)作為劃分標(biāo)志。一般人們將單塊芯片上包含100個(gè)元件或10個(gè)邏輯門以下的集成電路稱為小規(guī)模集成電路;而將元件數(shù)在100個(gè)以上、1000個(gè)以下,或邏輯門在10個(gè)以上、100個(gè)以下的稱為中規(guī)模集成電路;門數(shù)有100100000個(gè)元件的稱大規(guī)模集成電路(LSI),門數(shù)超過(guò)5000個(gè),或元件數(shù)高于10萬(wàn)個(gè)的則稱超大規(guī)模集成電路(VLSI)。 注:以上描述和前面的劃分方法不同。集成電路相關(guān)集成電路相關(guān)(68)集成電路的類型 二極管二極管-晶體管邏輯門(晶體管邏輯門(DTL)集集 晶體三極管晶體三極管-晶體三極管邏輯門晶體三極管邏輯門 (TTL)成成 雙極型雙極型 射極

38、耦合邏輯門射極耦合邏輯門 (ECL)邏邏 集成注入邏輯門電路集成注入邏輯門電路 ( )輯輯 N溝道溝道MOS門門 (NMOS)門門 單極型單極型(MOS型型) P 溝道溝道MOS門門 (PMOS) 互補(bǔ)互補(bǔ)MOS門門 (CMOS)LI2(69)半導(dǎo)體三極管(BJT-Bipolar Junction Transistor),又常稱為晶體管,是通過(guò)一定工藝,將兩個(gè)PN結(jié)結(jié)合在一起的器件。晶體管是用輸入電流控制輸出電流的電流控制器件,由導(dǎo)電載流子空穴和電子共同形成電流,因此又稱為雙極型晶體管或雙向晶體管。場(chǎng)效應(yīng)管是用輸入電場(chǎng)控制輸出電流的電壓控制器件,導(dǎo)電載流子只有空穴或電子一種,因此又稱為單極型晶

39、體管或單向晶體管。在電子技術(shù)中一種由半導(dǎo)體材料制成的電子器件,可以用來(lái)控制電路中的電流。它分為雙極型和單極型兩種型式。雙極型晶體管由一塊分層的n-p-n或p-n-p半導(dǎo)體材料組成,具有發(fā)射極、基 極和集電極三條引接端,其電流是由正、負(fù)兩種載流子共同產(chǎn)生的。在單極型晶體管中,引接端 叫作源極、柵極和漏極,其電流是由多數(shù)載流子產(chǎn)生的。三極管、晶體管、單極晶體管和雙極晶體管的區(qū)別三極管、晶體管、單極晶體管和雙極晶體管的區(qū)別(70)TTL門電路及改進(jìn)74系列74xx普通標(biāo)準(zhǔn)普通標(biāo)準(zhǔn)TTL74LSxx 低功耗肖特基低功耗肖特基TTL74Sxx肖特基肖特基TTL(抗飽和抗飽和)74ALSxx先進(jìn)低功耗肖特

40、基先進(jìn)低功耗肖特基TTL74ASxx先進(jìn)肖特基先進(jìn)肖特基TTL74Fxx高速高速TTL (71)74LS004個(gè)個(gè)2輸入與非門輸入與非門74LS00 的引腳排列圖VCC 3A 3B 3Y 4A 4B 4Y 1A 1B 1Y 2A 2B 2Y GND 14 13 12 11 10 9 874LS20 1 2 3 4 5 6 7VCC 2A 2B NC 2C 2D 2Y 1A 1B NC 1C 1D 1Y GND74LS20 的引腳排列圖 14 13 12 11 10 9 874LS00 1 2 3 4 5 6 77 4 L S 2 02個(gè)個(gè)4輸入與非門輸入與非門(72)74LS04 6個(gè)反相器個(gè)

41、反相器 14 13 12 11 10 9 874LS04 1 2 3 4 5 6 7VCC 4A 4Y 5A 5Y 6A 6Y 1A 1Y 2A 2Y 3A 3Y GNDVCC(73)74LS02 4個(gè)個(gè)2輸入或非門輸入或非門 14 13 12 11 10 9 874LS02 1 2 3 4 5 6 7VCC 3Y 3B 3A 4Y 4B 4A 1Y 1B 1A 2Y 2B 3A GND+VCC(74)&ABEF&CD&G1&ABEF&CDG 能否能否“線與線與”?ABCDEFEFCDABFEG 答案:答案:TTL與非門不允許直接線與與非門不允許直接線與集電極開(kāi)路的邏輯門(集電極開(kāi)路的邏輯門(

42、Open Collector)2.4 OC門門問(wèn)題:?jiǎn)栴}:TTL與非門能否直接線與?與非門能否直接線與?(75)OC門可以實(shí)現(xiàn)門可以實(shí)現(xiàn)“線與線與”功能。功能。&UCCF1F2F3FRLF=F1F2F3&符號(hào)符號(hào)輸出端要接上拉負(fù)載電阻輸出端要接上拉負(fù)載電阻 RL RL 和和UCC 可以外接可以外接ABF 國(guó)標(biāo)國(guó)標(biāo)ABF慣用慣用(76)OC門的應(yīng)用門的應(yīng)用 實(shí)現(xiàn)實(shí)現(xiàn)“線與線與”邏輯功能邏輯功能 實(shí)現(xiàn)電平轉(zhuǎn)換實(shí)現(xiàn)電平轉(zhuǎn)換 例如,把輸出高電平轉(zhuǎn)換為例如,把輸出高電平轉(zhuǎn)換為10V時(shí),可將外時(shí),可將外接的上拉電阻接到接的上拉電阻接到10V電源上電源上 用做驅(qū)動(dòng)器用做驅(qū)動(dòng)器 用用OC門來(lái)驅(qū)動(dòng)指示燈,繼電器

43、和脈沖變壓門來(lái)驅(qū)動(dòng)指示燈,繼電器和脈沖變壓器等器等 實(shí)現(xiàn)總線傳輸實(shí)現(xiàn)總線傳輸(77)正常輸出端有兩種狀態(tài)正常輸出端有兩種狀態(tài)u高電平狀態(tài)高電平狀態(tài)u低電平狀態(tài)低電平狀態(tài) 三態(tài)門具有第三種狀態(tài)三態(tài)門具有第三種狀態(tài)u高阻狀態(tài)(懸空狀態(tài)、禁止?fàn)顟B(tài))高阻狀態(tài)(懸空狀態(tài)、禁止?fàn)顟B(tài))u輸出阻抗很高(相當(dāng)于與其他電路無(wú)關(guān))輸出阻抗很高(相當(dāng)于與其他電路無(wú)關(guān))u不是高電平,也不是低電平不是高電平,也不是低電平u具有一個(gè)控制端具有一個(gè)控制端u控制端無(wú)效,輸出高阻狀態(tài)控制端無(wú)效,輸出高阻狀態(tài)2.5 三態(tài)門(三態(tài)門(TS門)門)(78)&ABFE符號(hào)符號(hào)輸輸出出高高阻阻0E 1E ABF 功能表功能表三態(tài)門的符號(hào)及

44、功能表三態(tài)門的符號(hào)及功能表&ABFE符號(hào)符號(hào)輸輸出出高高阻阻1E0EABF 功能表功能表使能端使能端高電平高電平起作用起作用使能端使能端低電平低電平起作用起作用(79)E1E2E3公用總線公用總線三態(tài)門主要作為三態(tài)門主要作為TTL電路與電路與總線總線間的間的接口電路接口電路。三態(tài)門的用途三態(tài)門的用途工作時(shí),工作時(shí),E1、E2、E3分時(shí)分時(shí)接入高電平接入高電平(80) 三態(tài)門三態(tài)門 (兩輸入與非兩輸入與非) ABE&ABE&ABE國(guó)標(biāo)國(guó)標(biāo)國(guó)外國(guó)外AFEAFEAFEEAF三態(tài)緩沖器三態(tài)緩沖器反相器反相器高電平有效高電平有效反相器反相器低電平有效低電平有效同相器同相器低電平有效低電平有效同相器同相器

45、高電平有效高電平有效鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容813.3.組合邏輯電路組合邏輯電路3.1 3.1 概述概述3.2 3.2 編碼器編碼器3.3 3.3 譯碼器譯碼器 3.4 3.4 加法器加法器3.5 3.5 數(shù)據(jù)選擇器數(shù)據(jù)選擇器(82)3.1 概述概述邏輯電路邏輯電路組合組合邏輯電路邏輯電路時(shí)序時(shí)序邏輯電路邏輯電路功能:功能:輸出只取決于輸出只取決于 當(dāng)前的輸入。當(dāng)前的輸入。 組成:組成:門電路,不存在門電路,不存在記憶元件。記憶元件。功能:功能:輸出取決于當(dāng)輸出取決于當(dāng)前的輸入和原前的輸入和原來(lái)的狀態(tài)。來(lái)的狀態(tài)。組成:組成:組合電路、記組合電路、記憶元件

46、。憶元件。(83)組合電路的研究?jī)?nèi)容:組合電路的研究?jī)?nèi)容:分析:分析:設(shè)計(jì):設(shè)計(jì):給定給定 邏輯圖邏輯圖得到得到邏輯功能邏輯功能分析分析 給定給定邏輯功能邏輯功能畫出畫出 邏輯圖邏輯圖設(shè)計(jì)設(shè)計(jì)(84)3.2 編碼器編碼器所謂所謂編碼編碼就是賦予選定的一系列二進(jìn)制代碼以就是賦予選定的一系列二進(jìn)制代碼以固定的含義。固定的含義。n個(gè)二進(jìn)制代碼(個(gè)二進(jìn)制代碼(n位二進(jìn)制數(shù))有位二進(jìn)制數(shù))有2n種種不同的組合,可以表示不同的組合,可以表示2n個(gè)信號(hào)。個(gè)信號(hào)。一、二進(jìn)制編碼器一、二進(jìn)制編碼器二進(jìn)制編碼器的作用:二進(jìn)制編碼器的作用:將一系列信號(hào)狀態(tài)編制成將一系列信號(hào)狀態(tài)編制成二進(jìn)制代碼。二進(jìn)制代碼。(85)

47、例:例:用與非門組成三位二進(jìn)制編碼器。用與非門組成三位二進(jìn)制編碼器。-八線八線-三線編碼器三線編碼器設(shè)八個(gè)輸入端為設(shè)八個(gè)輸入端為I1 I8,八種狀態(tài),八種狀態(tài),與之對(duì)應(yīng)的輸出設(shè)為與之對(duì)應(yīng)的輸出設(shè)為F1、F2、F3,共三,共三位二進(jìn)制數(shù)。位二進(jìn)制數(shù)。設(shè)計(jì)編碼器的過(guò)程與設(shè)計(jì)一般的組合設(shè)計(jì)編碼器的過(guò)程與設(shè)計(jì)一般的組合邏輯電路相同,首先要列出狀態(tài)表(即真邏輯電路相同,首先要列出狀態(tài)表(即真值表),然后寫出邏輯表達(dá)式并進(jìn)行化簡(jiǎn),值表),然后寫出邏輯表達(dá)式并進(jìn)行化簡(jiǎn),最后畫出邏輯圖最后畫出邏輯圖。(86)I1I2I3I4I5I6I7I8F3F2F101111111000101111110011101111

48、10101110111101111110111100111110111011111110111011111110111真值表真值表86421IIIIF 8642IIII 87432IIIIF 87653IIIIF (87)I1I2I3I4I5I6I7I8&F3F2F18-3 編碼器邏輯圖編碼器邏輯圖86421IIIIF 8642IIII 87432IIIIF 87653IIIIF (88)譯碼是編碼的逆過(guò)程,即將某二進(jìn)制翻譯成電譯碼是編碼的逆過(guò)程,即將某二進(jìn)制翻譯成電路的某種狀態(tài)。路的某種狀態(tài)。一、二進(jìn)制譯碼器一、二進(jìn)制譯碼器二進(jìn)制譯碼器的作用:二進(jìn)制譯碼器的作用:將將n種輸入的組合譯成種輸入

49、的組合譯成2n種電路狀態(tài)。也叫種電路狀態(tài)。也叫n-2n線譯碼器。線譯碼器。譯碼器的輸入譯碼器的輸入 一組二進(jìn)制代碼一組二進(jìn)制代碼譯碼器的輸出譯碼器的輸出一組高低電平信號(hào)一組高低電平信號(hào)3.3 譯碼器譯碼器(89)&1Y0Y2Y3YA1A0S2-4線譯碼器線譯碼器74LS139的內(nèi)部線路的內(nèi)部線路輸入輸入控制端控制端輸出輸出(90)74LS139的功能表的功能表A1A01XX11110000111001101101011010111110S0Y1Y2Y3Y“”表示低電平有效。表示低電平有效。(91)S1S10A11A10Y11Y12Y13Y10A11A10Y11Y12Y13Y1S20A21A20

50、Y21Y22Y23Y2ccUGND3Y22Y21Y20Y21A20A2S274LS139管腳圖管腳圖一片一片139種含兩個(gè)種含兩個(gè)2-4譯碼器譯碼器(92)例:例:利用線譯碼器分時(shí)將采樣數(shù)據(jù)送入計(jì)算機(jī)。利用線譯碼器分時(shí)將采樣數(shù)據(jù)送入計(jì)算機(jī)。0Y1Y2Y3Y0A1AS2-4線譯線譯碼器碼器ABCD三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門AEBECEDE總線總線(93)0Y1Y2Y3Y0A1AS2-4線譯線譯碼器碼器ABCD三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門三態(tài)門AEBECEDE總線總線000全為全為1工作原理工作原理:(以:(以A0A1=00為例)為例)數(shù)據(jù)數(shù)據(jù)脫離總線脫離總線(

51、94)3位二進(jìn)制譯碼器位二進(jìn)制譯碼器A2 A1 A0Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y70 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 0 0 0 0 0 0 00 1 0 0 0 0 0 00 0 1 0 0 0 0 00 0 0 1 0 0 0 00 0 0 0 1 0 0 00 0 0 0 0 1 0 00 0 0 0 0 0 1 00 0 0 0 0 0 0 1真值表真值表輸輸入入:3位二進(jìn)制代碼位二進(jìn)制代碼輸輸出出:8個(gè)互斥的信號(hào)個(gè)互斥的信號(hào)(95)01270126012501240123012201210120AAAYAAAYAAAYA

52、AAYAAAYAAAYAAAYAAAY&111 A2 A1 A0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0邏輯表達(dá)式邏輯表達(dá)式邏輯圖邏輯圖電路特點(diǎn)電路特點(diǎn):與門組成的陣列:與門組成的陣列3 線-8 線譯碼器(96)集成二進(jìn)制譯碼器集成二進(jìn)制譯碼器74LS138 16 15 14 13 12 11 10 974LS138 1 2 3 4 5 6 7 8VCC Y0 Y1 Y2 Y3 Y4 Y5 Y6A0 A1 A2 G2A G2B G1 Y7 GND74LS138 Y0 Y1 Y2 Y3 Y4 Y5 Y6Y7A0 A1 A2 G2A G2B G1Y0 Y1 Y2 Y3 Y4 Y5 Y6Y7

53、A0 A1 A2 STB STC STA(a) 引腳排列圖(b) 邏輯功能示意圖A2、A1、A0為二進(jìn)制譯碼輸入端, 為譯碼輸出端(低電平有效),G1、 、為選通控制端。當(dāng)G11、 時(shí),譯碼器處于工作狀態(tài);當(dāng)G10、時(shí),譯碼器處于禁止?fàn)顟B(tài)。07YYAG2BG2022BAGG122BAGG(97)真值表真值表輸 入使 能選 擇輸 出G1 2GA2 A1 A001234567 YYYYYYYY 1 0 1 01 01 01 01 01 01 01 00 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 11 1 1

54、 1 1 1 1 01 1 1 1 1 1 0 11 1 1 1 1 0 1 11 1 1 1 0 1 1 11 1 1 0 1 1 1 11 1 0 1 1 1 1 11 0 1 1 1 1 1 10 1 1 1 1 1 1 1輸輸入入:自然二進(jìn)制碼:自然二進(jìn)制碼輸輸出出:低電平有效:低電平有效BAGGG222(98)1 1 0 11 0 0 1+舉例:舉例:A=1101, B=1001, 計(jì)算計(jì)算A+B。011010011加法運(yùn)算的基本規(guī)則加法運(yùn)算的基本規(guī)則:(1) 逢二進(jìn)一。逢二進(jìn)一。(2) 最低位是兩個(gè)數(shù)最低位的疊加,不需考慮進(jìn)位。最低位是兩個(gè)數(shù)最低位的疊加,不需考慮進(jìn)位。(3) 其余

55、各位都是三個(gè)數(shù)相加,包括被加數(shù)、加數(shù)其余各位都是三個(gè)數(shù)相加,包括被加數(shù)、加數(shù)和低位來(lái)的進(jìn)位。和低位來(lái)的進(jìn)位。(4) 任何位相加都產(chǎn)生兩個(gè)結(jié)果:本位和、向高位任何位相加都產(chǎn)生兩個(gè)結(jié)果:本位和、向高位的進(jìn)位。的進(jìn)位。用半加器實(shí)現(xiàn)用半加器實(shí)現(xiàn)用全加器實(shí)現(xiàn)用全加器實(shí)現(xiàn)3.4 加法器加法器(99)一、半加器一、半加器半加運(yùn)算不考慮從低位來(lái)的進(jìn)位半加運(yùn)算不考慮從低位來(lái)的進(jìn)位設(shè):設(shè):A-加數(shù);加數(shù);B-被加數(shù);被加數(shù);S-本位和;本位和;C-進(jìn)位進(jìn)位A B C S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 真值表真值表BABABAS ABC (100)邏輯圖邏輯圖半加器半加器(HA)A

56、iBiCiSi邏輯符號(hào)邏輯符號(hào)BABABAS ABC =1&ABSC慣用符號(hào)慣用符號(hào)AiBiSiCi+1國(guó)標(biāo)符號(hào)國(guó)標(biāo)符號(hào)CO(101)二、全加器:二、全加器:輸入:輸入:Ai-加數(shù);加數(shù);Bi-被加數(shù);被加數(shù);Ci-低位的進(jìn)位低位的進(jìn)位輸出:輸出:Si-本位和;本位和;Ci+1-進(jìn)位進(jìn)位真值表真值表邏輯符號(hào)邏輯符號(hào)全加器全加器(FA)(FA)A Ai iB Bi iC Ci iS Si iC Ci+1i+1慣用符號(hào)慣用符號(hào)A Ai iB Bi iC Ci iS Si iC Ci+1i+1CICO國(guó)標(biāo)符號(hào)國(guó)標(biāo)符號(hào)(102)從一組數(shù)據(jù)中選擇一路信號(hào)進(jìn)行傳輸?shù)碾娐?,從一組數(shù)據(jù)中選擇一路信號(hào)進(jìn)行傳輸?shù)?/p>

57、電路,稱為稱為數(shù)據(jù)選擇器數(shù)據(jù)選擇器,又叫多路開(kāi)關(guān),簡(jiǎn)稱,又叫多路開(kāi)關(guān),簡(jiǎn)稱MUX(Multiplexer)控制信號(hào)控制信號(hào)輸入信號(hào)輸入信號(hào)輸出信號(hào)輸出信號(hào)數(shù)據(jù)選擇器類似一個(gè)多投開(kāi)關(guān)。選擇哪一路信數(shù)據(jù)選擇器類似一個(gè)多投開(kāi)關(guān)。選擇哪一路信號(hào)由相應(yīng)的一組控制信號(hào)控制。號(hào)由相應(yīng)的一組控制信號(hào)控制。A0A1D3D2D1D0W3.5 數(shù)據(jù)選擇器數(shù)據(jù)選擇器(103)一位數(shù)據(jù)選擇器:一位數(shù)據(jù)選擇器:從從n個(gè)一位數(shù)據(jù)中選擇一個(gè)數(shù)據(jù)。個(gè)一位數(shù)據(jù)中選擇一個(gè)數(shù)據(jù)。m位數(shù)據(jù)選擇器:位數(shù)據(jù)選擇器:從從n個(gè)個(gè)m位數(shù)據(jù)中選擇一個(gè)數(shù)據(jù)。位數(shù)據(jù)中選擇一個(gè)數(shù)據(jù)。W3X3Y3W3X2Y2W3X1Y1W3X0Y0A控制信號(hào)控制信號(hào)四二

58、選一選擇器四二選一選擇器n=2 , m=4(104)四選一集成數(shù)據(jù)選擇器四選一集成數(shù)據(jù)選擇器74LS153輸輸入入輸輸出出A1A0W 10000D0010D1100D2110D3E功能表功能表控制端控制端:為為 或或 ,低電平有效。,低電平有效。EE1E2選擇端選擇端A1 A0 :為兩個(gè)為兩個(gè)4選選1數(shù)據(jù)選擇器共用。數(shù)據(jù)選擇器共用。其中其中鄭州大學(xué)鄭州大學(xué) 計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理 補(bǔ)充內(nèi)容補(bǔ)充內(nèi)容1054.4.時(shí)序邏輯電路時(shí)序邏輯電路4.1 概述4.2 基本 RS 觸發(fā)器4.3 常用觸發(fā)器 4.4 寄存器和計(jì)數(shù)器4.5 PLD簡(jiǎn)介(106)時(shí)序電路的特點(diǎn):時(shí)序電路的特點(diǎn):具有記憶功能。具

59、有記憶功能。在數(shù)字電路中,凡是任一時(shí)刻的穩(wěn)定在數(shù)字電路中,凡是任一時(shí)刻的穩(wěn)定輸出不僅決定于該時(shí)刻的輸入,而且輸出不僅決定于該時(shí)刻的輸入,而且還和還和電路原來(lái)的狀態(tài)有關(guān)電路原來(lái)的狀態(tài)有關(guān)者,都叫做時(shí)序邏輯者,都叫做時(shí)序邏輯電路,簡(jiǎn)稱電路,簡(jiǎn)稱時(shí)序電路時(shí)序電路。組合邏輯電路組合邏輯電路存儲(chǔ)功能存儲(chǔ)功能.XYZW4.1 概述概述時(shí)序電路的基本單元:時(shí)序電路的基本單元:觸發(fā)器。觸發(fā)器。(107)觸發(fā)器的功能:觸發(fā)器的功能:形象地說(shuō),形象地說(shuō), 它具有它具有“一觸即發(fā)一觸即發(fā)”的的功能。在輸入信號(hào)的作用下,它能夠從一種狀功能。在輸入信號(hào)的作用下,它能夠從一種狀態(tài)態(tài) ( 0或或1 )轉(zhuǎn)變成另一種狀態(tài)轉(zhuǎn)變成

60、另一種狀態(tài) ( 1或或0 )。觸發(fā)器的特點(diǎn):觸發(fā)器的特點(diǎn):有記憶功能的邏輯部件。輸出狀態(tài)有記憶功能的邏輯部件。輸出狀態(tài)不只與現(xiàn)時(shí)的輸入有關(guān),還與原來(lái)的輸出狀態(tài)不只與現(xiàn)時(shí)的輸入有關(guān),還與原來(lái)的輸出狀態(tài)有關(guān)。有關(guān)。觸發(fā)器的分類:觸發(fā)器的分類:按功能分:按功能分:有有R-S觸發(fā)器、觸發(fā)器、D型觸發(fā)器、型觸發(fā)器、JK觸發(fā)器、觸發(fā)器、T型等;型等;按觸發(fā)方式劃分:按觸發(fā)方式劃分:有電平觸發(fā)方式、主從有電平觸發(fā)方式、主從觸發(fā)方式和邊沿觸發(fā)方式觸發(fā)方式和邊沿觸發(fā)方式 。(108)兩個(gè)輸入端兩個(gè)輸入端4.2 基本基本 RS 觸發(fā)器觸發(fā)器&a&bQQDRDS反饋反饋兩個(gè)輸出端兩個(gè)輸出端反饋反饋正是由于正是由于引

61、入反饋,引入反饋,才使電路具有才使電路具有記憶功能記憶功能 !(109)輸入輸入RD=0, SD=1時(shí)時(shí)若原狀態(tài):若原狀態(tài):1Q0Q 11001010輸出仍保持:輸出仍保持:1Q0Q &a&bQQDRDS若原狀態(tài):若原狀態(tài):0Q1Q 01111010輸出變?yōu)椋狠敵鲎優(yōu)椋?Q0Q 置置“0”!&a&bQQDRDS(110)輸入輸入RD=1, SD=0時(shí)時(shí)若原狀態(tài):若原狀態(tài):1Q0Q 10101001輸出變?yōu)椋狠敵鲎優(yōu)椋?Q1Q &a&bQQDRDS若原狀態(tài):若原狀態(tài):0Q1Q 00110101輸出保持:輸出保持:0Q1Q &a&bQQDRDS置置“1” ?。?11)輸入輸入RD=1, SD=1時(shí)

62、時(shí)若原狀態(tài):若原狀態(tài):10111001輸出保持原狀態(tài):輸出保持原狀態(tài):0Q1Q 0Q1Q 若原狀態(tài):若原狀態(tài):1Q0Q 01110110輸出保持原狀態(tài):輸出保持原狀態(tài):1Q0Q &a&bQQDRDS&a&bQQDRDS保持!保持?。?12)輸入輸入RD=0, SD=0時(shí)時(shí)0011輸出:全是輸出:全是1注意:注意:當(dāng)當(dāng)RD、SD同時(shí)由同時(shí)由0變變?yōu)闉?時(shí),翻轉(zhuǎn)快的門輸出變?yōu)闀r(shí),翻轉(zhuǎn)快的門輸出變?yōu)?,另一個(gè)不得翻轉(zhuǎn)。因此,另一個(gè)不得翻轉(zhuǎn)。因此,該狀態(tài)為不定狀態(tài)。該狀態(tài)為不定狀態(tài)。&a&bQQDRDS基本觸發(fā)器的功能表基本觸發(fā)器的功能表QRD SD Q1 10 11 00 0保持原狀態(tài)保持原狀態(tài)0

63、11 0不定狀態(tài)不定狀態(tài)復(fù)位端復(fù)位端置位端置位端QDRDSQ邏輯符號(hào)邏輯符號(hào)(113)1. 觸發(fā)器是雙穩(wěn)態(tài)器件,只要令觸發(fā)器是雙穩(wěn)態(tài)器件,只要令RD=SD=1,觸發(fā)器即保持原態(tài)。穩(wěn)態(tài)情況下,兩輸出觸發(fā)器即保持原態(tài)。穩(wěn)態(tài)情況下,兩輸出互補(bǔ)。一般定義互補(bǔ)。一般定義Q為觸發(fā)器的狀態(tài)。為觸發(fā)器的狀態(tài)。2. 在控制端加入負(fù)脈沖,可以使觸發(fā)器狀態(tài)變化。在控制端加入負(fù)脈沖,可以使觸發(fā)器狀態(tài)變化。SD端加入負(fù)脈沖,使端加入負(fù)脈沖,使Q1,SD稱為稱為“置位置位”或或“置置1”端。端。RD端加入負(fù)脈沖,使端加入負(fù)脈沖,使Q0,RD稱為稱為“復(fù)位復(fù)位”或或“清清0”端。端。小小 結(jié)結(jié)(114)同步同步RS觸發(fā)器觸

64、發(fā)器QQRDSDabRDSDcdRSCP“ 同步同步 ”的含義:由時(shí)鐘的含義:由時(shí)鐘CP決定決定R、S能否對(duì)輸出能否對(duì)輸出端起控制作用。端起控制作用。直接清零端直接清零端直接置位端直接置位端輸出端輸出端輸入端輸入端RDSDRSCQQ(115)QQRDSDabRDSDcdRSCP直接清零端直接清零端直接置位端直接置位端直接清零端、置位端的處理:直接清零端、置位端的處理:平時(shí)常平時(shí)常為為 1平時(shí)常平時(shí)常為為 1(116)紅色線無(wú)圓圈表示:紅色線無(wú)圓圈表示:“高高電平有效電平有效”,即,即 “ 只有只有在時(shí)鐘在時(shí)鐘 CP1 時(shí),它才表時(shí),它才表現(xiàn)出應(yīng)有的邏輯功能;如現(xiàn)出應(yīng)有的邏輯功能;如果果CP0,

65、輸出端,輸出端 Q 則保則保持原狀態(tài)持原狀態(tài)”QQRDSDRSC邏輯邏輯符號(hào)符號(hào)邏輯邏輯符號(hào)符號(hào)綠色線有一個(gè)圓圈,表示:綠色線有一個(gè)圓圈,表示:“ 低 電 平 有 效低 電 平 有 效 ” , 即, 即 “ 只有在時(shí)鐘只有在時(shí)鐘 CP0 時(shí),時(shí),它才表現(xiàn)出應(yīng)有的邏輯功它才表現(xiàn)出應(yīng)有的邏輯功能;如果能;如果CP1,輸出端,輸出端 Q 則保持原狀態(tài)則保持原狀態(tài)”QQRDSDRSC(117)R S 觸發(fā)器的電路結(jié)構(gòu)演變過(guò)程觸發(fā)器的電路結(jié)構(gòu)演變過(guò)程由兩個(gè)與非門構(gòu)成基由兩個(gè)與非門構(gòu)成基本本RS觸發(fā)器觸發(fā)器由四個(gè)與非門構(gòu)成同由四個(gè)與非門構(gòu)成同步步RS觸發(fā)器觸發(fā)器由九個(gè)與非門構(gòu)成主由九個(gè)與非門構(gòu)成主從從RS

66、觸發(fā)器觸發(fā)器公共結(jié)構(gòu)公共結(jié)構(gòu)讓其接受讓其接受時(shí)鐘控制時(shí)鐘控制(118)4.3 常用觸發(fā)器常用觸發(fā)器D Qn+1 0 0 1 1 功能表功能表CPDQQD觸發(fā)器的輸出波形觸發(fā)器的輸出波形邏輯符號(hào)邏輯符號(hào)RDSDD CQQ結(jié)論:結(jié)論:Qn+1 = D1. D觸發(fā)器(觸發(fā)器(D鎖存器)鎖存器)(119)觸發(fā)器的觸發(fā)方式觸發(fā)器的觸發(fā)方式觸發(fā)方式?觸發(fā)方式?研究翻轉(zhuǎn)時(shí)刻與研究翻轉(zhuǎn)時(shí)刻與時(shí)鐘脈沖間的關(guān)系時(shí)鐘脈沖間的關(guān)系電位觸發(fā)方式電位觸發(fā)方式電位觸電位觸發(fā)發(fā)正電位觸發(fā)正電位觸發(fā)負(fù)電位觸發(fā)負(fù)電位觸發(fā)CP=1 期間翻轉(zhuǎn)期間翻轉(zhuǎn)CP=0 期間翻轉(zhuǎn)期間翻轉(zhuǎn)(120)邊沿觸發(fā)方式邊沿觸發(fā)方式為了免除為了免除CP=1期間輸入控制電平不許改變期間輸入控制電平不許改變的限制,可采用的限制,可采用邊沿觸發(fā)邊沿觸發(fā)方式。其特點(diǎn)是:觸方式。其特點(diǎn)是:觸發(fā)器只在時(shí)鐘跳轉(zhuǎn)時(shí)發(fā)生翻轉(zhuǎn),而在發(fā)器只在時(shí)鐘跳轉(zhuǎn)時(shí)發(fā)生翻轉(zhuǎn),而在CP1或或CP0期間,輸入端的任何變化都不影響輸出期間,輸入端的任何變化都不影響輸出如果翻轉(zhuǎn)發(fā)生在上升沿就叫如果翻轉(zhuǎn)發(fā)生在上升沿就叫“上升沿觸發(fā)上升沿觸發(fā)”或或“正邊沿觸發(fā)正邊沿觸發(fā)”。如果翻轉(zhuǎn)發(fā)生在下降沿就

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!