EDA課程設(shè)計(jì) 全自動(dòng)洗衣機(jī)

上傳人:1888****888 文檔編號(hào):39154401 上傳時(shí)間:2021-11-10 格式:DOC 頁數(shù):13 大小:246KB
收藏 版權(quán)申訴 舉報(bào) 下載
EDA課程設(shè)計(jì) 全自動(dòng)洗衣機(jī)_第1頁
第1頁 / 共13頁
EDA課程設(shè)計(jì) 全自動(dòng)洗衣機(jī)_第2頁
第2頁 / 共13頁
EDA課程設(shè)計(jì) 全自動(dòng)洗衣機(jī)_第3頁
第3頁 / 共13頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《EDA課程設(shè)計(jì) 全自動(dòng)洗衣機(jī)》由會(huì)員分享,可在線閱讀,更多相關(guān)《EDA課程設(shè)計(jì) 全自動(dòng)洗衣機(jī)(13頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、EDA課程設(shè)計(jì) 全自動(dòng)洗衣機(jī)1 設(shè)計(jì)任務(wù)及要求利用可編程邏輯器件豐富的內(nèi)部資源,借助EDA(電子設(shè)計(jì)自動(dòng)化)工具(如MAXplus)把家電控制器電路集成在一片F(xiàn)PGA(現(xiàn)場(chǎng)可編程門陣列)芯片內(nèi),這樣就無需專門的單片機(jī)和外部邏輯電路。從而減小了電路的體積、提高了系統(tǒng)的穩(wěn)定性。本次電路設(shè)計(jì)是洗衣機(jī)控制器設(shè)計(jì)。設(shè)計(jì)的主要內(nèi)容是:(1)設(shè)計(jì)一個(gè)洗衣機(jī)控制器,使洗衣機(jī)作如下運(yùn)轉(zhuǎn):定時(shí)啟動(dòng)正轉(zhuǎn)20秒暫停10秒反轉(zhuǎn)20秒暫停10秒定時(shí)不到,重復(fù)上面過程。(2)若定時(shí)到,則停止,并發(fā)出音響信號(hào)。(3)用兩個(gè)數(shù)碼管顯示洗滌的預(yù)置時(shí)間(分鐘數(shù)),按倒計(jì)時(shí)方式對(duì)洗滌過程作計(jì)時(shí)顯示,直到時(shí)間到停機(jī);洗滌過程由開始信號(hào)

2、開始。(4)三只LED燈表示正轉(zhuǎn)、反轉(zhuǎn)、暫停三個(gè)狀態(tài)。設(shè)計(jì)的主要要求是:(1)根據(jù)設(shè)計(jì)題目要求編寫相應(yīng)程序代碼(2)對(duì)編寫的VHDL程序代碼進(jìn)行編譯和仿真(3)利用實(shí)驗(yàn)箱完成硬件驗(yàn)證(可選)(4)總結(jié)設(shè)計(jì)內(nèi)容,完成課程設(shè)計(jì)說明書2設(shè)計(jì)原理及總體框圖洗衣機(jī)控制器的設(shè)計(jì)主要是定時(shí)器的設(shè)計(jì),由一片F(xiàn)PGA和外圍電路構(gòu)成了電器控制部分。FPGA接收鍵盤的控制命令,控制洗衣機(jī)的進(jìn)水、排水、水位和洗衣機(jī)的工作狀態(tài)、并控制顯示工作狀態(tài)以及設(shè)定直流電機(jī)速度、正反轉(zhuǎn)控制、制動(dòng)控制、起??刂坪瓦\(yùn)動(dòng)狀態(tài)控制(洗衣機(jī)洗滌過程如圖2所示)。對(duì)FPGA芯片的編程采用模塊化的VHDL (硬件描述語言)進(jìn)行設(shè)計(jì),設(shè)計(jì)分為三層

3、實(shí)現(xiàn),頂層實(shí)現(xiàn)整個(gè)芯片的功能。頂層和中間層多數(shù)是由VHDL的元件例化語句實(shí)現(xiàn)。中間層由無刷直流電機(jī)控制、運(yùn)行模式選擇、洗滌模式選擇、定時(shí)器、顯示控制、鍵盤掃描、水位控制以及對(duì)直流電機(jī)控制板進(jìn)行速度設(shè)定、正反轉(zhuǎn)控制、啟??刂频饶K組成,它們分別調(diào)用底層模塊。洗衣機(jī)控制器電路主要有五大部分組成,包括:減法計(jì)數(shù)器、時(shí)序控制電路、預(yù)置時(shí)間和編碼電路、數(shù)碼管顯示、譯碼器組成。具體電路如圖3所示:圖3洗衣機(jī)控制器總體設(shè)計(jì)圖3 程序設(shè)計(jì)(1)時(shí)間設(shè)置電路:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;enti

4、ty settime isport(load:in std_logic;k:in std_logic_vector(9 downto 0);o:out std_logic_vector(3 downto 0);end settime;architecture rt1 of settime issignal p1:std_logic_vector(3 downto 0);begin process(load)beginif(loadevent and load=1)thencase k iswhen 1000000000=p1p1p1p1p1p1p1p1p1p1p1=0000;end case;

5、end if;end process;o=p1;end rt1;(2)數(shù)碼管顯示library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity showtime is port(num:in std_logic_vector(3 downto 0);dout:out std_logic_vector(6 downto 0);end showtime;architecture a1 of showtime isbeginwith num sel

6、ectdout=1111110 when 0000, 0110000 when 0001, 1101101 when 0010, 1111001 when 0011, 0110011 when 0100, 1011011 when 0101, 1011111 when 0110, 1110000 when 0111, 1111111 when 1000, 1111011 when 1001, 0000000 when others;end a1;(3)序電路library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all

7、;use ieee.std_logic_unsigned.all; entity SHIXU isport(clk:in std_logic;TG:in std_logic;start:in std_logic;SHUCHU:BUFFER std_logic_VECTOR(2 DOWNTO 0);end SHIXU; architecture behav of SHIXU isSIGNAL QQ:STD_LOGIC_VECTOR(5 DOWNTO 0);beginprocess(clk,tg,QQ)beginif(tg=1)or(start=0) then shuchu=001;QQ=1110

8、11;else if(clkevent) and (clk=1)then if QQ=000000 then QQ=111011 ;shuchu=100 ; elsif QQ=111010then shuchu=100 ; elsif QQ=100111then shuchu=001; elsif QQ=011101then shuchu=010; elsif QQ=001001then shuchu=001; end if;QQrev=0;run=0;pauserev=1;run=0;pauserev=0;run=1;pauserev=0;run=0;pause=1;end case;end

9、 process;end behav;(5)減法計(jì)數(shù)器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all; entity counter isport(start:IN std_logic;clk:IN std_logic;CHUSHItime:IN integer range 0 to 15;XIANSHItime:OUT integer range 0 to 15;JINWEI:BUFFER std_logic);end counter;

10、architecture behav of counter issignal ZHONGJIANtime:integer range 0 to 15;beginprocess(clk)beginif(start=0)then ZHONGJIANtime=CHUSHItime;XIANSHItime=CHUSHItime;jinwei=0;else if(clkevent and clk=1)then if(ZHONGJIANtime=0) then XIANSHItime=0;JINWEI=1; else ZHONGJIANtime=ZHONGJIANtime-1;XIANSHItime=ZH

11、ONGJIANtime; end if; end if;end if;end process;end behav; 4 編譯及仿真EDA工具在EDA技術(shù)應(yīng)用中占據(jù)極其重要的位置,EDA的核心是利用計(jì)算機(jī)完成電子設(shè)計(jì)全程自動(dòng)化,因此基于計(jì)算機(jī)環(huán)境的EDA軟件的支持是必不可少的。此次設(shè)計(jì)所用EDA工具是由著名的Alter公司生產(chǎn)的MAXplus工具軟件,它是一種集成的開發(fā)環(huán)境,支持原理圖、VHDL和Verilog語言文本文件,以及波形文件作為設(shè)計(jì)輸入,并支持這些文件的人以混合設(shè)計(jì)。 圖4洗衣機(jī)控制器程序仿真圖 MAXplus工具軟件具有門級(jí)仿真器,可以進(jìn)行功能仿真和時(shí)序仿真,能夠產(chǎn)生精確的仿真結(jié)果

12、,同是還支持主流第三方EDA工具,所以可以說MAXplus是當(dāng)今最優(yōu)秀的EDA工具軟件之一。利用MAXplus工具軟件仿真仿真結(jié)果如圖4所示5 硬件調(diào)試與結(jié)果分析洗衣機(jī)接通電源,按load設(shè)置洗滌時(shí)間按start、rd置為高電平洗衣機(jī)開始工作,當(dāng)時(shí)鐘第一個(gè)上升沿到達(dá)時(shí)run(正轉(zhuǎn)功能)為高電平維持20s以后變?yōu)榈碗娖蕉鴓ause(暫停功能)隨著時(shí)鐘上升沿的到來變?yōu)楦唠娖骄S持10s變?yōu)榈碗娖?,然后rev(反轉(zhuǎn)功能)開始隨著時(shí)鐘上升沿的到來變?yōu)楦唠娖焦ぷ骶S持20s后變?yōu)榈碗娖?,再停止pause置高,接下來電路一直重復(fù)上述工作,知道定時(shí)器計(jì)數(shù)結(jié)束。電路設(shè)計(jì)完成以后,按照預(yù)定設(shè)計(jì),輸入相應(yīng)數(shù)據(jù),三只L

13、ED燈按照設(shè)定時(shí)間規(guī)律間斷性亮起,數(shù)碼管也顯示輸入時(shí)間并按減數(shù)計(jì)時(shí)產(chǎn)生相應(yīng)的數(shù)字顯示,直到到達(dá)預(yù)定時(shí)間停止工作顯示零,實(shí)驗(yàn)設(shè)計(jì)達(dá)到預(yù)期效果。6 參考文獻(xiàn)1 潘松著.EDA技術(shù)實(shí)用教程(第二版). 北京:科學(xué)出版社,2005.2 康華光主編.電子技術(shù)基礎(chǔ) 模擬部分. 北京:高教出版社,2006.3 閻石主編.數(shù)字電子技術(shù)基礎(chǔ). 北京:高教出版社,2003.4 趙巖嶺 劉春等.在MAXplus平臺(tái)下用VHDL進(jìn)行數(shù)字電路設(shè)計(jì). 西安:西電出版社,2005心得體會(huì)通過這次的EDA設(shè)計(jì),我可以說是受益良多??吹较匆聶C(jī)控制器的題目,我首先想到的是狀態(tài)機(jī)的設(shè)計(jì),因?yàn)檎n本上說狀態(tài)機(jī)其實(shí)就是控制器,后來經(jīng)過看

14、書覺得應(yīng)該是摩爾型狀態(tài)機(jī),可是自習(xí)分析后發(fā)現(xiàn)設(shè)計(jì)題目比較復(fù)雜,如果用狀態(tài)機(jī)的思路來設(shè)計(jì),比較困難超出了我的能力。結(jié)合以前做課程設(shè)計(jì)(數(shù)字電路設(shè)計(jì)交通等控制器)的經(jīng)驗(yàn),如果用模塊化層次化的設(shè)計(jì)思路更清晰,設(shè)計(jì)起來也更容易,特別是更符合EDA設(shè)計(jì)的的流程,故自己開始設(shè)計(jì)各功能模塊。洗衣機(jī)控制器主要實(shí)幾種狀態(tài)的循環(huán)改變,還有計(jì)時(shí)和數(shù)碼顯示的功能,所以我覺得電路主要有五大部分組成,包括:減法計(jì)數(shù)器、時(shí)序控制電路、預(yù)置時(shí)間和編碼電路、數(shù)碼管顯示、譯碼器組成。在分析過程中,我遇到了不少困難,因?yàn)榈谝淮斡龅揭粋€(gè)比較復(fù)雜的設(shè)計(jì),剛開始不知道從哪里入手,所以借鑒了一些書和網(wǎng)上的資料,主要參看了趙巖嶺 劉春等老師編著的在MAXplus平臺(tái)下用VHDL進(jìn)行數(shù)字電路設(shè)計(jì)關(guān)于控制器的一些設(shè)計(jì)方法和范例,并且在無憂電子開發(fā)網(wǎng)(

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!