基于51單片機(jī)智能小車設(shè)計(jì)

上傳人:風(fēng)*** 文檔編號:60795821 上傳時(shí)間:2022-03-09 格式:DOCX 頁數(shù):33 大小:462.06KB
收藏 版權(quán)申訴 舉報(bào) 下載
基于51單片機(jī)智能小車設(shè)計(jì)_第1頁
第1頁 / 共33頁
基于51單片機(jī)智能小車設(shè)計(jì)_第2頁
第2頁 / 共33頁
基于51單片機(jī)智能小車設(shè)計(jì)_第3頁
第3頁 / 共33頁

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《基于51單片機(jī)智能小車設(shè)計(jì)》由會(huì)員分享,可在線閱讀,更多相關(guān)《基于51單片機(jī)智能小車設(shè)計(jì)(33頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。

1、精選優(yōu)質(zhì)文檔-傾情為你奉上北 華 航 天 工 業(yè) 學(xué) 院課程設(shè)計(jì)報(bào)告(論文)設(shè)計(jì)課題:基于51單片機(jī)智能循跡小車設(shè)計(jì) 專業(yè)班級: B12242 學(xué)生姓名: 李云鑫 指導(dǎo)教師: 王曉 設(shè)計(jì)時(shí)間: 2014年6月15日 北華航天工業(yè)學(xué)院電子工程系 基于51單片機(jī)智能循跡小車 課程設(shè)計(jì)任務(wù)書姓 名:李云鑫專 業(yè):測控技術(shù)與儀器班 級:B12242指導(dǎo)教師:王曉職 稱:學(xué)生課程設(shè)計(jì)題目:基于51單片機(jī)智能循跡小車設(shè)計(jì)。已知技術(shù)參數(shù)和設(shè)計(jì)要求:1.小車具有自動(dòng)循跡功能。2.當(dāng)小車運(yùn)行時(shí)對應(yīng)的發(fā)光二極管發(fā)光。3.當(dāng)小車脫離跑道后直接直線行駛。4.在跑道上運(yùn)行時(shí),始終保持只有一個(gè)電機(jī)在轉(zhuǎn)動(dòng),且小車沿直線行駛

2、。所需儀器設(shè)備:1、proteus.7仿真軟件2.VisualC+.6.0C語言編程軟件成果驗(yàn)收形式:1、實(shí)物驗(yàn)收 2、實(shí)驗(yàn)報(bào)告驗(yàn)收.3、現(xiàn)場進(jìn)行答辯參考文獻(xiàn):1 胡輝.單片機(jī)原理及應(yīng)用.中國水利水電出版社. 2007.9月第一版2 譚浩強(qiáng)、C語言程序設(shè)計(jì)(第二版)。清華大學(xué)出版社3 康光華、電子技術(shù)基礎(chǔ)模擬部分(第四版)、高等教育出版社時(shí)間安排2014年6月20日至2014年6月30日期間指導(dǎo)教師: 王曉 教研室主任: 王曉 2014年06 月15 日注:本表下發(fā)學(xué)生一份,指導(dǎo)教師一份,欄目不夠時(shí)請另附頁。課程設(shè)計(jì)任務(wù)書裝訂于設(shè)計(jì)計(jì)算說明書(或論文)封面之后,目錄頁之前。內(nèi) 容 摘 要本設(shè)計(jì)

3、主要有單片機(jī)模塊、地面尋線模塊、發(fā)光二極管模塊,電機(jī)驅(qū)動(dòng)模塊以及電源模塊組成,小車具有自主尋跡的功能。本次設(shè)計(jì)采用ATMEL公司的AT89C2051單片機(jī)作為控制芯片,傳感器模塊采用紅外接收管和比較器實(shí)現(xiàn),能夠輕松識別黑白兩色路面,同時(shí)具有抗環(huán)境干擾能力,電機(jī)模由LM393芯片和兩個(gè)直流電機(jī)構(gòu)成,組成了智能車的動(dòng)力系統(tǒng),電源采用5V的直流電池,經(jīng)過系統(tǒng)組裝,從而實(shí)現(xiàn)了小車的自動(dòng)循跡的功能。索引關(guān)鍵詞:智能小車 AT89C2051 單片機(jī) LM393 紅外接收管目 錄一 概 述 1二 方案設(shè)計(jì)與論證8三 單元電路設(shè)計(jì)及各模塊具體電路3 3.1. 電路中51單片機(jī)芯片介紹 133.2 最小系統(tǒng)部分

4、電路 193.3控制模塊電路電路 203.4電機(jī)驅(qū)動(dòng)及二極管模塊電路203.5尋線檢測模塊部分電路 213.6軟件設(shè)計(jì) 22四 總原理圖及元器件清單 4.1總原理圖 23 4.2元器件清單 23五 安裝與調(diào)試 5.1電子元器件的裝配 24 5.2.機(jī)械裝配 255.3總裝 25六 性能測試與分析6.1測試方法及注意事項(xiàng) 266.2源程序26七 結(jié)論 27八 心得體會(huì) 28九 參考文獻(xiàn) 29一、概述 目前,在企業(yè)生產(chǎn)技術(shù)不斷提高、對自動(dòng)化技術(shù)要求不斷加深的環(huán)境下,智能車輛以及在智能車輛基礎(chǔ)上開發(fā)出來的產(chǎn)品已成為自動(dòng)化物流運(yùn)輸、柔性生產(chǎn)組織等系統(tǒng)的關(guān)鍵設(shè)備。世界上許多國家都在積極進(jìn)行智能車輛的研究

5、和開發(fā)設(shè)計(jì)。移動(dòng)機(jī)器人是機(jī)器人學(xué)中的一個(gè)重要分支,出現(xiàn)于20世紀(jì)60年代。當(dāng)時(shí)斯坦福研究院(SRI)的Nils Nilssen和charles Rosen等人,在1966年至1972年中研制出了取名shakey的自主式移動(dòng)機(jī)器人,目的是將人工智能技術(shù)應(yīng)用在復(fù)雜環(huán)境下,完成機(jī)器人系統(tǒng)的自主推理、規(guī)劃和控制。從此,移動(dòng)機(jī)器人從無到有,數(shù)量不斷增多,智能車輛作為移動(dòng)機(jī)器人的一個(gè)重要分支也得到越來越多的關(guān)注。智能小車,是一個(gè)集環(huán)境感知、規(guī)劃決策,自動(dòng)行駛等功能于一體的綜合系統(tǒng),它集中地運(yùn)用了計(jì)算機(jī)、傳感、信息、通信、導(dǎo)航及白動(dòng)控制等技術(shù),是典型的高新技術(shù)綜合體。智能車輛也叫無人車輛,是一個(gè)集環(huán)境感知、

6、規(guī)劃決策和多等級輔助駕駛等功能于一體的綜合系統(tǒng)。它具有道路障礙自動(dòng)識別、自動(dòng)報(bào)警、自動(dòng)制動(dòng)、自動(dòng)保持安全距離、車速和巡航控制等功能。智能車輛的主要特點(diǎn)是在復(fù)雜的道路情況下,能自動(dòng)地操縱和駕駛車輛繞開障礙物并沿著預(yù)定的道路(軌跡)行進(jìn)。智能車輛在原有車輛系統(tǒng)的基礎(chǔ)上增加了一些智能化技術(shù)設(shè)備。(1)計(jì)算機(jī)處理系統(tǒng),主要完成對來自攝像機(jī)所獲取的圖像的預(yù)處理、增強(qiáng)、分析、識別等工作(2)攝像機(jī),用來獲得道路圖像信息(3)傳感器設(shè)備,車速傳感器用來獲得當(dāng)前車速,障礙物傳感器用來獲得前方、側(cè)方、后方障礙物等信息。智能車輛技術(shù)按功能可分為三層,即智能感知預(yù)警系統(tǒng)、車輛駕駛系統(tǒng)和全自動(dòng)操作系統(tǒng)團(tuán)。上一層技術(shù)是

7、下一層技術(shù)的基礎(chǔ)。三個(gè)層次具體如下(1)智能感知系統(tǒng),利用各種傳感器來獲得車輛自身、車輛行駛的周圍環(huán)境及駕駛員本身的狀態(tài)信息,必要時(shí)發(fā)出預(yù)警信息。主要包括碰撞預(yù)警系統(tǒng)和駕駛員狀態(tài)監(jiān)控系統(tǒng)。碰撞預(yù)警系統(tǒng)可以給出前方碰撞警告、盲點(diǎn)警告、車道偏離警告、換道、并道警告、十字路口警告、行人檢測與警告、后方碰撞警告等.駕駛員狀態(tài)監(jiān)控系統(tǒng)包括駕駛員打噸警告系統(tǒng)、駕駛員位置占有狀態(tài)監(jiān)測系統(tǒng)等。 (2)輔助駕駛系統(tǒng),利用智能感知系統(tǒng)的信息進(jìn)行決策規(guī)劃,給駕駛員提出駕駛建議或部分地代替駕駛員進(jìn)行車輛控制操作。主要包括巡航控制、車輛跟蹤系統(tǒng)、準(zhǔn)確泊車系統(tǒng)及精確機(jī)動(dòng)系統(tǒng)。 (3)車輛自動(dòng)駕駛系統(tǒng),這是智能車輛技術(shù)的最

8、高層次,它由車載計(jì)算機(jī)全部自動(dòng)地實(shí)現(xiàn)車輛操作功能。目前,主要發(fā)展用于擁擠交通時(shí)低速自動(dòng)駕駛系統(tǒng)、近距離車輛排隊(duì)駕駛系統(tǒng)等。 這種智能小車的主要應(yīng)用領(lǐng)域包括以下幾個(gè)方面: (1)軍事偵察與環(huán)境探測現(xiàn)代戰(zhàn)爭對軍事偵察提出了更高的要求,世界各國普遍重視對軍事偵察的建設(shè),采取各種有效措施預(yù)防敵方的突然襲擊,并廣泛應(yīng)用先進(jìn)科學(xué)技術(shù),不斷研制多用途的偵察器材和探測設(shè)備,在車上裝備攝像機(jī)、安全激光測距儀、夜視裝置和衛(wèi)星全球定位儀等設(shè)備,通過光纜操縱,完成偵察和監(jiān)視敵情、情報(bào)收集、目標(biāo)搜索和自主巡邏等任務(wù),進(jìn)一步擴(kuò)大偵察的范圍,提高偵察的時(shí)效性和準(zhǔn)確性。(2)探測危險(xiǎn)與排除險(xiǎn)情在戰(zhàn)場上或工程中,常常會(huì)遇到各種

9、各樣的意外。這時(shí),智能化探測小車就會(huì)發(fā)揮很好的作用。戰(zhàn)場上,可以使用智能車輛掃除路邊炸彈、尋找和銷毀地雷。民用方面,可以探測化學(xué)泄漏物質(zhì),可以進(jìn)行地鐵滅火,以及在強(qiáng)烈地震發(fā)生后到廢墟中尋找被埋人員等。(3)安全檢測受損評估 在工程建設(shè)領(lǐng)域,可對高速公路自動(dòng)巡跡,進(jìn)行道路質(zhì)量檢測和破壞分析檢測對水庫堤壩、海岸護(hù)岸堤、江河大壩進(jìn)行質(zhì)量和安全性檢測。在制造領(lǐng)域,可用于工業(yè)管道中機(jī)械損傷,裂紋等缺陷的探尋,對輸油和輸氣管線的泄漏和破損點(diǎn)的查找和定位等。(4)智能家居 在家庭中,可以用智能小車進(jìn)行家具、遠(yuǎn)程控制家中的家用電器,控制室溫等等。對這種小車的研究,將為未來環(huán)境探測術(shù)上的有力支持。課題研究的目的

10、和意義:目前,國內(nèi)外的許多大學(xué)及研究機(jī)構(gòu)都在積極投入人力、財(cái)力研制開發(fā)針對特殊條件下的安全監(jiān)測系統(tǒng)。其中包括研究使用遠(yuǎn)程、無人的方法來進(jìn)行實(shí)現(xiàn),如機(jī)器人、遠(yuǎn)程監(jiān)控等。無線傳輸?shù)陌l(fā)展使得測量變得相對簡單而且使得處理數(shù)據(jù)的速度變得很快甚至可以達(dá)到實(shí)時(shí)處理”。通過構(gòu)建智能小車系統(tǒng),培養(yǎng)設(shè)計(jì)并實(shí)現(xiàn)自動(dòng)控制系統(tǒng)的能力。在實(shí)踐過程中,熟悉以單片機(jī)為核心控制芯片,設(shè)計(jì)小車的檢測、驅(qū)動(dòng)和顯示等外圍電路,采用智能控制算法實(shí)現(xiàn)小車的智能循跡。靈活應(yīng)用機(jī)電等相關(guān)學(xué)科的理論知識,聯(lián)系實(shí)際電路設(shè)計(jì)的具體實(shí)現(xiàn)方法,達(dá)到理論與實(shí)踐的統(tǒng)一。在此過程中,加深對控制理論的理解和認(rèn)識。二、方案設(shè)計(jì)與論證2.1::設(shè)計(jì)內(nèi)容概述:作為

11、一個(gè)初學(xué)者,我只是著重于設(shè)計(jì)調(diào)試智能小車中一項(xiàng)頗具代表性的功能,就是循跡,最終設(shè)計(jì)出來的成品經(jīng)調(diào)試成功后,可以按照自己設(shè)置好的路線(軌跡),,并且可以自動(dòng)轉(zhuǎn)向。2.2:系統(tǒng)簡介:本系統(tǒng)的尋線傳感器使用的是紅外線接收管,并使用LM393電壓比較器將紅外線接收管的模擬信號轉(zhuǎn)為單片機(jī)能識別的電平信號。嵌入式控制核心采用的是51系列單片機(jī)AT89C2051。采用AT89C2051位嵌入式控制核心主要是成本和性能的兼顧,AT89C2051和AT89X51在不適用外部ROM,RAM時(shí)功能和指令時(shí)一樣的,而且AT89C2051具有更小的體積,適合初學(xué)者使用。2.3:系統(tǒng)組成:1、設(shè)計(jì)主要分為以下幾個(gè)模塊:1

12、)路面檢測模塊;2)電源模塊為約為5V;3)單片機(jī)模塊;4) 電機(jī)及驅(qū)動(dòng)模塊; 5)發(fā)光二極管模塊1):路面檢測模塊:用紅外探測法,即利用紅外線在不同顏色的物體表面具有不同的反射性質(zhì)的特點(diǎn),在小車行駛過程中不斷地向地面發(fā)射紅外光,當(dāng)紅外光遇到白色紙質(zhì)地板時(shí)發(fā)生漫反射,反射光被裝在小車上的接收管接收;如果遇到黑線則紅外光被吸收,小車上的接收管接收不到紅外光。單片機(jī)就是否收到反射回來的紅外光為依據(jù)來確定黑線的位置和小車的行走路線。2)電源模塊:電源采用直流電源,為兩節(jié)五號電池供電。為電機(jī)驅(qū)動(dòng)電路板、傳感器模塊板和單片機(jī)主控制板提供能源,由于AT89C2051能耗低,故6v電壓可以滿足尋跡要求。3)

13、單片機(jī)模塊;采用AT89C2051單片機(jī)作為主控制器。它是一個(gè)低功耗,高性能的8 位單片機(jī),片內(nèi)含2K字節(jié),128字節(jié)RAM,15根I/O口,兩個(gè)16位定時(shí)器,一個(gè)五向量兩級中斷結(jié)構(gòu),一個(gè)全雙工,一個(gè)精密模擬比較器以及兩種可選 的軟件節(jié)電工作方式。空閑方停止CPU工作但允許RAM、定時(shí)器/計(jì)數(shù)器、串行工作口和繼續(xù)工作。掉電方式保存RAM內(nèi)容但振蕩器停止工作并禁止有其它部件的工作到下一個(gè)硬件復(fù)位。 AT89C2051設(shè)計(jì)有2個(gè)程序保密位,保密位1被之后,不能再被編程除非做一次擦除,保密位2被編程之后,程序不能被讀出。4)電機(jī)及驅(qū)動(dòng)模塊;1、電機(jī)模塊: 車體采用四輪結(jié)構(gòu),前輪驅(qū)動(dòng);為三級減速機(jī)構(gòu),

14、有一級蝸輪蝸桿傳動(dòng),兩級直齒輪傳動(dòng)。電機(jī)采用直流減速電機(jī),直流減速電機(jī)轉(zhuǎn)動(dòng)力矩大,體積小,重量輕,裝配簡單,使用方便。由于其內(nèi)部由高速電動(dòng)機(jī)提供原始動(dòng)力,帶動(dòng)變速(減速)齒輪組,可以產(chǎn)生較大扭力。2、驅(qū)動(dòng)模塊驅(qū)動(dòng)模塊采用專用芯片LM393 作為電機(jī)驅(qū)動(dòng)芯片。5)發(fā)光二極管模塊;用兩個(gè)發(fā)光二極管連接到芯片上,通過程序控制,讓它只有在電機(jī)轉(zhuǎn)動(dòng)時(shí)才能發(fā)光。2、系統(tǒng)框圖:發(fā)光二極管模塊AT89C2051單片機(jī)電源電路直流電機(jī)的驅(qū)動(dòng)模塊路面尋線模塊2.4:課程設(shè)計(jì)的理論基礎(chǔ)及工作原理。首先智能小車是如何實(shí)現(xiàn)循跡功能的呢?那么這就涉及到了紅外線接收管的概念: 紅外線接收管是將紅外線光信號變成電信號的半導(dǎo)體

15、器件,它的核心部件是一個(gè)特殊材料的PN結(jié),和普通二極管相比,在結(jié)構(gòu)上采取了大的改變,紅外線接收管為了更多更大面積的接受入射光線,PN結(jié)面積盡量做的比較大,電極面積盡量減小,而且PN結(jié)的結(jié)深很淺,一般小于1微米。紅外線接收二極管是在反向電壓作用之下工作的。沒有光照時(shí),反向電流很?。ㄒ话阈∮?.1微安),稱為暗電流。當(dāng)有紅外線光照時(shí),攜帶能量的紅外線光子進(jìn)入PN結(jié)后,把能量傳給共價(jià)鍵上的束縛電子,使部分電子掙脫共價(jià)鍵,從而產(chǎn)生電子-空穴對(簡稱:光生載流子)。它們在反向電壓作用下參加漂移運(yùn)動(dòng),使反向電流明顯變大,光的強(qiáng)度越大,反向電流也越大。這種特性稱為“光電導(dǎo)”。紅外線接收二極管在一般照度的光線

16、照射下,所產(chǎn)生的電流叫光電流。如果在外電路上接上負(fù)載,負(fù)載上就獲得了電信號,而且這個(gè)電信號隨著光的變化而相應(yīng)變化。 而此次設(shè)計(jì)中我用的是黑色紅外線接收管,也就是說當(dāng)接收管檢測不到黑色的反射光時(shí),通過它的電流很小,即電路不能工作,反之,當(dāng)接收管接收到了黑光的刺激,即電路開始工作,這也就說明循跡小車必須尋得是一條黑色的軌跡,即跑道是黑色的。 而且,我們都知道,軌跡必然不可能是一條直線,這樣可以說一點(diǎn)挑戰(zhàn)性都沒有,那么,如果軌跡是彎曲的,要想讓小車沿著軌跡行走,它就必須會(huì)轉(zhuǎn)彎,不會(huì)轉(zhuǎn)彎,它就偏離了跑道了,循跡就會(huì)失敗,可是一個(gè)沒有思想,沒有生命的小車自己怎么能夠轉(zhuǎn)彎呢,這些將會(huì)在底下統(tǒng)統(tǒng)揭曉。 繞了

17、這么多彎,現(xiàn)在我就來講講我的小車工作原理,或者說當(dāng)你打開電源后它到底是怎么工作的。首先,我事先會(huì)在我的AT89C2051芯片中輸入已經(jīng)調(diào)試好的程序,在小車的底部會(huì)有兩個(gè)兩個(gè)黑色紅外線接收管,分別對應(yīng)著小車的左右兩個(gè)電機(jī),當(dāng)左邊接收管探測到黑線時(shí)即p1-5=0,則左側(cè)電機(jī)停止轉(zhuǎn)動(dòng),即p1-6=1反之,則繼續(xù)轉(zhuǎn)動(dòng),即p1-6=0。而當(dāng)右邊接收管探測到黑線時(shí),即p1-4=1則右側(cè)電機(jī)停止運(yùn)動(dòng),即p1-3=1反之則轉(zhuǎn)動(dòng),即p1-3=1。而這也保證了小車會(huì)自己轉(zhuǎn)向,比如,當(dāng)軌跡向右彎曲時(shí),當(dāng)小車運(yùn)動(dòng)至轉(zhuǎn)彎處,即必然會(huì)導(dǎo)致左邊接收管位探測到黑線,而右邊電機(jī)探測到黑線,所以便會(huì)導(dǎo)致左邊電機(jī)轉(zhuǎn)動(dòng),而右邊電機(jī)不

18、轉(zhuǎn)動(dòng),小車便會(huì)向右轉(zhuǎn)動(dòng),即實(shí)現(xiàn)了小車的轉(zhuǎn)向功能。而有時(shí),左邊與右邊接收管會(huì)同時(shí)探測到黑線,即小車眼直線行走時(shí)(p1-5=1;p1-4=1),那么我們可以讓右邊電機(jī)運(yùn)轉(zhuǎn)動(dòng)(p1-3=0),左側(cè)電機(jī)不運(yùn)行,即停止轉(zhuǎn)動(dòng)(p1-6=1),那么此刻小車就會(huì)有一個(gè)向左轉(zhuǎn)向的趨勢,然而當(dāng)小車轉(zhuǎn)動(dòng)一個(gè)角度后,立馬便會(huì)出現(xiàn)一種情況,即左側(cè)接收管不會(huì)再探測到黑線,而右側(cè)還會(huì),這便出現(xiàn)了上面的情況,小車便會(huì)左邊電機(jī)轉(zhuǎn)動(dòng),而右邊電機(jī)不會(huì)轉(zhuǎn)動(dòng),所以小車就會(huì)有右轉(zhuǎn)動(dòng)的趨勢,而緊接著,右邊接收管便會(huì)檢測不到黑線,而左邊會(huì)檢測到黑線,即左邊電機(jī)不轉(zhuǎn),右邊電機(jī)會(huì)轉(zhuǎn),如此往復(fù),小車便會(huì)搖搖晃晃地走過這條直線啦。而在我設(shè)計(jì)的電路中

19、,為了方便清楚地觀察到電機(jī)的轉(zhuǎn)動(dòng)與不轉(zhuǎn)動(dòng),特地增加了一個(gè)發(fā)光二極管電路,即小車左右兩側(cè)各有一個(gè)發(fā)光二極管對應(yīng)著小車的左右電機(jī),當(dāng)電機(jī)轉(zhuǎn)動(dòng)時(shí),二極管會(huì)發(fā)光,當(dāng)電機(jī)不轉(zhuǎn)動(dòng)時(shí),即發(fā)光二極管熄滅。三、單元電路設(shè)計(jì)與參數(shù)計(jì)算3.1. 電路中51單片機(jī)芯片介紹。AT89C2051AT89C2051是美國公司生產(chǎn)的低電壓、高性能 8位,片內(nèi)含2k bytes的可反復(fù)擦寫的只讀(PEROM)和128bytes的隨機(jī)數(shù)據(jù)存儲(chǔ)器(),器件采用ATMEL公司的度、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51,片內(nèi)置通用8位和,AT89C2051單片機(jī)在電子類產(chǎn)品中有廣泛的應(yīng)用。 1內(nèi)部結(jié)構(gòu)AT89C2051是一個(gè)帶有

20、2K字節(jié)閃速可編程可擦除(EEPROM)的低電壓,高性能8位CMOS。它采用ATMEL的非易失存儲(chǔ)技術(shù)制造并和工業(yè)標(biāo)準(zhǔn)MCS-51指令集和引腳結(jié)構(gòu)兼容。通過在單塊芯片上組合通用的CPLI和,ATMEL的AT89C2051是一強(qiáng)勁的微型處理器,它對許多嵌入式控制應(yīng)用提供一定高度靈活和成本低的解決辦法。AT89C2051提供以下標(biāo)準(zhǔn)功能:2K字節(jié),128字節(jié)RAM,15根I/O口,兩個(gè)16位定時(shí)器,一個(gè)五向量兩級中斷結(jié)構(gòu),一個(gè)全雙工,一個(gè)精密模擬比較器以及兩種可選 的軟件節(jié)電工作方式。空閑方停止CPU工作但允許RAM、定時(shí)器/計(jì)數(shù)器、串行工作口和繼續(xù)工作。掉電方式保存RAM內(nèi)容但振蕩器停止工作并禁

21、止有其它部件的工作到下一個(gè)硬件復(fù)位。2程序保密AT89C2051設(shè)計(jì)有2個(gè)程序保密位,保密位1被之后,不能再被編程除非做一次擦除,保密位2被編程之后,程序不能被讀出。3軟硬件的開發(fā)AT89C2051可以采用下面兩種方法開發(fā)應(yīng)用系統(tǒng)。1、由于89C2051內(nèi)部程序?yàn)镕lash,所以修改它內(nèi)部的程序十分方便快捷,只要配備一個(gè)可以89C2051的即可。調(diào)試人員可以采用-編譯-固化-插到電路板中試驗(yàn)這樣反復(fù)循環(huán)的方法,對于熟練的MCS-51程序員來說,這種調(diào)試方法并不十分困難。但是做這種調(diào)試不能夠了解片內(nèi)RAM的內(nèi)容和程序的走向等有關(guān)信息。2、將普通8031/的仿真插頭中P1.0P1.7和P3.0P3

22、.6引出來仿真2051,這種方法可以運(yùn)用單步、的調(diào)試方法,但是仿真不夠真實(shí),比如,2051的內(nèi)部模擬比較器功能,P1口、P3口的增強(qiáng)下拉能力等等。4引腳說明AT89C2051芯片引腳圖AT89C2051芯片實(shí)物圖如右圖所示。1、VCC:電源電壓。2、GND:地。3、P1口:P1口是一個(gè)8位雙向I/O口??谝_P1.2P1.7提供內(nèi)部,P1.0和P1.1要求外部上拉電阻。P1.0和P1.1還分別作為片內(nèi)精密模擬比較器的同相輸入(ANI0)和反相輸入(AIN1)。P1口輸出緩沖器可吸收20mA電流并能直接驅(qū)動(dòng)LED顯示。當(dāng)P1口引腳寫入“1”時(shí),其可用作輸入端,當(dāng)引腳P1.2P1.7用作輸入并被外

23、部拉低時(shí),它們將因內(nèi)部的寫入“1”時(shí),其可用作輸入端。當(dāng)引腳P1.2P1.7用作輸入并被外部拉低時(shí),它們將因內(nèi)部的而流出電流。4、P3口:P3口的P3.0P3.5、P3.7是帶有內(nèi)部 的七個(gè)雙向I/O口引腳。P3.6用于固定輸入片內(nèi)的輸出信號并且它作為一通用I/O引腳而不可訪問。P3口緩沖器可吸收20mA電流。當(dāng)P3口寫入“1”時(shí),它們被內(nèi)部拉高并可用作輸入端。用作輸入時(shí),被外部拉低的P3口腳將用而流出電流。P3口還用于實(shí)現(xiàn)AT89C2051的各種第二功能,如下表所列:引腳口功 能P3.0RXD串行輸入端口P3.1TXD串行輸出端口P3.2INT0外中斷0P3.3INT1外中斷1P3.4T0定

24、時(shí)器0外部輸入P3.5T1定時(shí)器1外部輸入P3口還接收一些用于編程和程序校驗(yàn)的。5、RST:復(fù)位輸入。RST一旦變成高電平所有的I/O就復(fù)位到“1”。當(dāng)振蕩器正在運(yùn)行時(shí),持續(xù)給出RST兩個(gè)的高電平便可完成復(fù)位。每一個(gè)需12個(gè)振蕩器或。6、XTAL1:作為振蕩器反相器的輸入和內(nèi)部時(shí)鐘發(fā)生器的輸入。7、XTAL2:作為振蕩器反相放大器的輸出。5主要性能1、和MCS-51產(chǎn)品兼容;2、2KB可重編程FLASH(10000次);3、2.7-6V電壓范圍;4、全靜態(tài)工作:0Hz-24MHz;5、2級保密鎖定;6、128*8位內(nèi)部RAM;7、15條可編程I/O線;8、兩個(gè)16位定時(shí)器/計(jì)數(shù)器;9、6個(gè);1

25、0、可編程串行通道;11、高精度電壓比較器(P1.0,P1.1,P3.6);12、直接驅(qū)動(dòng)LED的輸出端口。2、LM393 1主要特點(diǎn)LM393 是雙電壓比較器集成電路。該電路的特點(diǎn)如下:比較器數(shù):2工作溫度范圍:0C - +70CSVHC(高度關(guān)注物質(zhì)):No SVHC (18-Jun-2010)器件標(biāo)號:393通道數(shù):2邏輯功能號:393工作電源電壓范圍寬,單電源、雙電源均可工作,單電源: 2 36V, 雙電源:118V;消耗電流小, ICC=0.8mA;輸入失調(diào)電壓小, VIO=2mV;共模輸入電壓范圍寬, VIC=0VCC-1.5V;輸出與TTL,DTL,MOS,CMOS 等兼容;輸出

26、可以用開路集電極連接“或”門;表面安裝器件:表面安裝2結(jié)構(gòu)圖LM393內(nèi)部采用雙列直插8腳塑料封裝(DIP8)和微形的雙列8 腳塑料封裝(SOP8)3封裝體積LM393封裝形狀型號封裝型號識別封裝命名又名(通俗)LM393DSOIC8SOP8/貼片8腳LM393DGSOIC8SOP8LM393DR2SOIC8SOP8LM393DR2GSOIC8SOP8LM393NPDIP8DIP8/直插8腳LM393NGPDIP8DIP8/LM393DMR2Micro8SMD8/SSOP8LM393DMR2GMicro8SMD8/SSOP8型號的尾綴區(qū)分不同的。不同的封裝有不同的體積、請參考上項(xiàng)封裝數(shù)據(jù)圖。4

27、應(yīng)用說明LM393是高增益,寬頻帶器件,像大多數(shù)比較器一樣,如果輸出端到輸入端有寄生電容而產(chǎn)生,則很容易產(chǎn)生。這種現(xiàn)象僅僅出現(xiàn)在當(dāng)改變狀態(tài)時(shí),輸出電壓過渡的間隙,電源加旁路濾波并不能解決這個(gè)問題,標(biāo)準(zhǔn)的設(shè)計(jì)對減小輸入輸出寄生電容耦合是有助的。減小輸入電阻至小于10K將減小反饋信號,而且增加甚至很小的正反饋量(滯回1.010mV)能導(dǎo)致快速轉(zhuǎn)換,使得不可能產(chǎn)生由于寄生引起的振蕩,除非利用滯后,否則直接插入IC(集成電路板integrated circuit,縮寫:IC) 并在引腳上加上電阻將引起輸入輸出在很短的轉(zhuǎn)換周期內(nèi)振蕩,如果輸入信號是波形,并且上升和下降時(shí)間相當(dāng)快,則滯回將不需要。比較器的

28、所有沒有用的引腳必須接地。LM393偏置網(wǎng)絡(luò)確立了其靜態(tài)電流與電源電壓范圍 2.030V無關(guān)。通常電源不需要加旁路電容。差分輸入電壓可以大于Vcc并不損壞器件,保護(hù)部分必須能阻止輸入電壓向負(fù)端超過-0.3V。LM393的輸出部分是集電極開路,發(fā)射極接地的NPN輸出,可以用多集電極輸出提供或OR ing功能。輸出負(fù)載電阻能銜接在可允許電源電壓范圍內(nèi)的任何電源電壓上,不受 Vcc端電壓值的限制。此輸出能作為一個(gè)簡單的對地SPS開路(當(dāng)不用負(fù)載電阻沒被運(yùn)用),輸出部分的陷電流被可能得到的驅(qū)動(dòng)和器件的值所限制。當(dāng)達(dá)到極限電流(16mA)時(shí),輸出晶體管將退出而且輸出電壓將很快上升.輸出飽和電壓被輸出晶體

29、管大約60ohm 的SAT限制。當(dāng)負(fù)載電流很小時(shí),輸出晶體管的低失調(diào)電壓(約1.0mV)允許輸出箝位在零電平。5主要功能輸出負(fù)載電阻能銜接在可允許電源電壓范圍內(nèi)的任何電源電壓上,不受 Vcc端電壓值的限制.此輸出能作為一個(gè)簡單的對地SPS開路(當(dāng)不用負(fù)載電阻沒被運(yùn)用),輸出部分的陷電流被可能得到的驅(qū)動(dòng)和器件的值所限制.當(dāng)達(dá)到極限電流(16mA)時(shí),輸出晶體管將退出而且輸出電壓將很快上升。輸出飽和電壓被輸出晶體管大約60ohm 的SAT限制。當(dāng)負(fù)載電流很小時(shí),輸出晶體管的低失調(diào)電壓(約1.0mV)允許 輸出箝位在零電平。3.2. 最小系統(tǒng)部分電路3.3控制模塊電路電路3.4電機(jī)驅(qū)動(dòng)及二極管模塊電

30、路3.5尋線檢測模塊部分電路3.6軟件設(shè)計(jì)啟動(dòng)循跡模式探測黑線 Y N是否檢測到黑線向左轉(zhuǎn)向右轉(zhuǎn)繼續(xù)前進(jìn)四、總原理圖及元器件清單1總原理圖2元件清單元件序號名稱型號/規(guī)格數(shù)量標(biāo)號名稱型號/規(guī)格數(shù)量C1瓷介電容1041J1排針3位1C21041J22位1C31041J34位1C6301J43位1C7301J53位1C4電解電容10uf1Q1晶體三極管85501C5100uf1Q21D1發(fā)光二極管綠色1R1色環(huán)電阻10K1D21R101K1D3紅外線發(fā)射管透明1R111K1D41R121K1D5紅外線接收管深色1R131K1D61R210K1R3色環(huán)電阻10K1S1小開關(guān)單刀雙擲1R45.1K1U

31、1單片機(jī)AT89C20511R55.1K1U2電壓比較器LM3931R85101Y1石英晶體振蕩器6MHZ1R95101/集成電路座8腳1R6電位器10K1/20腳1R710K17萬向輪螺母M511減速電機(jī)/28萬向輪M512車輪29電路板D2-213硅膠輪胎210膠底電池盒AA*214車輪螺絲211連接導(dǎo)線紅色15輪毅螺絲M2.2*7212黑色16萬向輪螺絲M5*301 五、安裝與調(diào)試5.1電子元器件裝配按電路圖和電路圖上的標(biāo)識依次將色環(huán)電阻,晶振,瓷介電容,發(fā)光二極管,集成電路座,排針,電位器,開關(guān),三極管,電解電容焊裝在電路板上,注意電解電容和IC座不要焊反(錯(cuò))了。否則在通電后會(huì)使芯片

32、發(fā)熱可電解電容漏電大等不利于電路正常工作的情況,另外為了準(zhǔn)確定位紅外線收發(fā)管,請不要先焊接紅外線收發(fā)管,應(yīng)在總裝時(shí)再焊接。 所有電子元器件焊接完成后要仔細(xì)的查看電路板,以避免有虛焊,漏焊,短路等情況,如果查看中有疑似短路的情況使可使用萬用表并對照電路圖進(jìn)行排查。在通電以前必須將上述情況予以排除,否則在通電后有可能造成電子元器件的損壞或者是電路板上的線路被燒斷等,在一些極端的情況下有可能會(huì)產(chǎn)生某些元器件或是電池等發(fā)生爆炸的情況,從而危及到自身的安全。 還有,用導(dǎo)線在焊接元器件的過程中應(yīng)注意極性以及導(dǎo)線的長度。5.2機(jī)械裝配 1.將車輪的大孔裝入減速電機(jī)的輸出軸上,注意輪子一定要安裝到位,否則會(huì)加

33、重電機(jī)輸出軸的磨損,安裝到位后用M2.27的自攻螺絲將輪片徹底的和電機(jī)輸出軸固定! 2.將兩種顏色的導(dǎo)線分成4段后上錫,分別焊在2臺減速電機(jī)上待用。5.3總裝1.按電路板的標(biāo)識將電機(jī)組件安裝在電路板上,注意輪子的方向。 2.將電機(jī)的引線焊接在電路板上相應(yīng)的焊盤上。 3.將電路板正面向上,將萬向輪螺絲從其中上部的5mm的圓孔穿過,并在反面用M5的螺絲將其固定在電路板上,最后把萬向輪擰入萬向輪螺絲的頭部并且要擰緊,以防車輛在運(yùn)行過程中,萬向輪突然脫落。 4.將電路板底面向上,按電路板標(biāo)識將紅外線的收發(fā)管焊接在電路板的底面,注意標(biāo)有LED的為紅外線發(fā)射管安裝位置,標(biāo)有PHOTO的為紅外線接收管的安裝

34、位置,極性按電路板上標(biāo)識安裝,紅外線接收管的第二個(gè)引腳較長的是紅外線收發(fā)管的陽極(正極),短的是紅外線收發(fā)管的陰極(負(fù)極)。紅外線收發(fā)管的安裝高度應(yīng)當(dāng)?shù)陀谌f向輪最高點(diǎn)5毫米左右。因?yàn)槲沂浅鯇W(xué)者,可能不太容易掌握好這個(gè)位置,所以我直接是將收發(fā)管的高度定在和萬向輪差不多的高度就可以焊接了。 六、性能測試與分析6.1測試方式及注意事項(xiàng)1.先將電源開關(guān)撥到OFF位置上,電路板上的2個(gè)集成電路先不要裝,在確認(rèn)沒有短路,斷路,虛焊的情況下將2節(jié)AA(5號電池)裝入電池盒中,注意極性,有彈簧的那端應(yīng)當(dāng)標(biāo)有-符號或較為平整的一端裝入。注意,小車在正常情況下且沒有使用其他功能模塊時(shí)。小車的整車電流大概在400m

35、A左右。 2.將萬用表的檔位撥到200mA的電流擋上,小車沒有開始運(yùn)行(電機(jī)不轉(zhuǎn))時(shí)的電流不會(huì)超過20mA。用表筆短接開關(guān)的2個(gè)引腳使電路得電。觀察萬用表上的讀數(shù)應(yīng)當(dāng)有12MA左右即可認(rèn)為是電路工作基本正常,接下來再將LM393芯片按正確的方向插入IC座。重復(fù)上述的測量過程,這里的電流增加應(yīng)當(dāng)在1mA左右最多增加2mA,如果超出2mA的話,必須立即移開表筆,并對電路進(jìn)行排查。如果符合要求,就可以將AT89C2051芯片正確裝入電路了。至此經(jīng)過以上各步驟的安裝后,小車已可以正常運(yùn)行了,在運(yùn)行前請把單片機(jī)芯片AT89C2051找正確的位置和方向插入20腳IC口。可以采用黑色絕緣膠布等不反光的帶狀物

36、做繞道,承載面必須是平整的光面。 6.2輸入的源程序#includevoid main() /主程序while(1) /超級循環(huán) if(P1_5=1) P1_6=1;/判斷左側(cè)傳感器狀態(tài),如果探測到黑線左側(cè)電機(jī)停止運(yùn)行 else P1_6=0; /否則電機(jī)繼續(xù)運(yùn)行 if(P1_6=0) P1_7=0;/判斷左側(cè)電機(jī)運(yùn)行狀態(tài),如果探測到左側(cè)電機(jī)運(yùn)行左側(cè)指示燈亮 else P1_7=1; /否則左側(cè)指示燈滅 if(P1_4=1) P1_3=1;/判斷右側(cè)傳感器狀態(tài),如果探測到黑線右側(cè)電機(jī)停止運(yùn)行 else P1_3=0; /否則電機(jī)繼續(xù)運(yùn)行 if(P1_3=0) P1_2=0;/判斷右側(cè)電機(jī)運(yùn)行狀

37、態(tài),如果探測到右側(cè)電機(jī)運(yùn)行右側(cè)指示燈亮 else P1_2=1; /否則右側(cè)指示燈滅 while(P1_5=1 & P1_4=1)/判斷二側(cè)傳感器狀態(tài),如果探測到同時(shí)為黑線時(shí)將循環(huán)執(zhí)行下面的程序 P1_3=0; /右側(cè)電機(jī)運(yùn)行P1_2=0; /右側(cè)指示燈亮P1_6=1; /左側(cè)電機(jī)停止運(yùn)行P1_7=1; /左側(cè)指示燈滅 七、結(jié)論開機(jī)啟動(dòng)后,通過5V的直流電源給各部分模塊供電,小車開始沿著黑線軌跡行走。當(dāng)左邊接收管檢測到黑線,右邊的接收管沒有檢測到黑線時(shí),把信號傳給單片機(jī)系統(tǒng),系統(tǒng)通過調(diào)整電機(jī)的轉(zhuǎn)動(dòng),使小車沿著黑線左轉(zhuǎn);當(dāng)右邊的接收管檢測到黑線,左邊的接收管沒有檢測到黑線時(shí),同理,通過單片機(jī)系統(tǒng)

38、的工作,小車沿著黑線向右轉(zhuǎn);當(dāng)左右接收管都檢測到黑線時(shí),小車處在黑線的上方,小車有向左轉(zhuǎn)動(dòng)的趨勢,卻依舊趨于直線行駛,當(dāng)左右接收管都未檢測到黑線時(shí),小車直線行駛。八、 心得體會(huì)雖然這個(gè)課設(shè)在很多人的眼里,可以說是一個(gè)特別簡單的課設(shè),但是對于我這個(gè)初學(xué)者來說,卻仍然是一項(xiàng)挑戰(zhàn),在制作課設(shè)期間里,我也遇到了很多困難與挫折,畢竟,制作出一個(gè)實(shí)物并不難,但是要想將它調(diào)試成功并且理解它的原理卻是困難的,所以,我也曾一度灰心喪氣,但是最終我都一步步挺了過來,克服了一個(gè)又一個(gè)的困難,最終完成了制作。而且,在這過程中,我也是獲益匪淺。其中最明顯的便是在知識上,我可以毫不夸張的說,剛開始,我連單片機(jī)有多少個(gè)引腳

39、都不知道,但現(xiàn)在,我起碼知道了如何使用這些常見的單片機(jī)芯片。同時(shí),在制作過程中,由于缺乏經(jīng)驗(yàn)和忽略細(xì)節(jié)問題,在調(diào)試的時(shí)候經(jīng)常碰到很多奇怪的問題,但是我通過耐心的調(diào)試,或者上網(wǎng)查閱資料,一步步解決問題,直到弄懂為止;當(dāng)然由于知識能力與水平有限,我也有做的不好與不完善的地方,甚至都沒有得到太多的鍛煉,懇請老師批評指正,希望下次我能夠做的更好。九、參考文獻(xiàn)1 胡輝.單片機(jī)原理及應(yīng)用.中國水利水電出版社. 2007.9月第一版2 譚浩強(qiáng)、C語言程序設(shè)計(jì)(第二版)。清華大學(xué)出版社3 康光華、電子技術(shù)基礎(chǔ)模擬部分(第四版)、高等教育出版社電子工程系 智能循跡小車 課程設(shè)計(jì)成績評定表專業(yè): 測控技術(shù)與儀器 班級: B12242 學(xué)號: 01 姓名: 李云鑫 課題名稱 基于51單片機(jī)智能循跡小車設(shè)計(jì)設(shè)計(jì)任務(wù)與要求1.小車具有自動(dòng)循跡功能。2.電機(jī)轉(zhuǎn)動(dòng)時(shí),二極管發(fā)光否則不發(fā)光。3.當(dāng)小車脫離跑道后直線行駛。4.在跑道上運(yùn)行時(shí),始終保持只有一個(gè)電機(jī)在轉(zhuǎn)動(dòng),且小車沿直線行駛。指導(dǎo)教師評語 建議成績: 指導(dǎo)教師:課程小組評定評定成績: 課程負(fù)責(zé)人: 年 月 日專心-專注-專業(yè)

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!