歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

計算機組成與系統(tǒng)結(jié)構(gòu)課后答案全(清華大學出版社-袁春風主編)

  • 資源ID:10273582       資源大?。?span id="b5ot3qn" class="font-tahoma">1.74MB        全文頁數(shù):76頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

計算機組成與系統(tǒng)結(jié)構(gòu)課后答案全(清華大學出版社-袁春風主編)

第 1 章 習 題 答 案5若有兩個基準測試程序P1和P2在機器M1和M2上運行,假定M1和M2的價格分別是5000元和8000元,下表給出了P1和P2在M1和M2上所花的時間和指令條數(shù)。程序M1M2指令條數(shù)執(zhí)行時間(ms)指令條數(shù)執(zhí)行時間(ms)P1200106100001501065000P230010334201036請回答下列問題:(1) 對于P1,哪臺機器的速度快?快多少?對于P2呢?(2) 在M1上執(zhí)行P1和P2的速度分別是多少MIPS?在M2上的執(zhí)行速度又各是多少?從執(zhí)行速度來看,對于P2,哪臺機器的速度快?快多少?(3) 假定M1和M2的時鐘頻率各是800MHz和1.2GHz,則在M1和M2上執(zhí)行P1時的平均時鐘周期數(shù)CPI各是多少? (4) 如果某個用戶需要大量使用程序P1,并且該用戶主要關(guān)心系統(tǒng)的響應時間而不是吞吐率,那么,該用戶需要大批購進機器時,應該選擇M1還是M2?為什么?(提示:從性價比上考慮)(5) 如果另一個用戶也需要購進大批機器,但該用戶使用P1和P2一樣多,主要關(guān)心的也是響應時間,那么,應該選擇M1還是M2?為什么?參考答案:(1) 對于P1,M2比M1快一倍;對于P2,M1比M2快一倍。(2) 對于M1,P1的速度為:200M/10=20MIPS;P2為300k/0.003=100MIPS。對于M2,P1的速度為:150M/5=30MIPS;P2為420k/0.006=70MIPS。從執(zhí)行速度來看,對于P2,因為100/70=1.43倍,所以M1比M2快0.43倍。 (3) 在M1上執(zhí)行P1時的平均時鐘周期數(shù)CPI為:10800M/(200106)=40。在M2上執(zhí)行P1時的平均時鐘周期數(shù)CPI為:51.2G/(150106)=40。(4) 考慮運行P1時M1和M2的性價比,因為該用戶主要關(guān)心系統(tǒng)的響應時間,所以性價比中的性能應考慮執(zhí)行時間,其性能為執(zhí)行時間的倒數(shù)。故性價比R為:R=1/(執(zhí)行時間價格)R越大說明性價比越高,也即,“執(zhí)行時間價格”的值越小,則性價比越高。因為105000 > 58000,所以,M2的性價比高。應選擇M2。(5) P1和P2需要同等考慮,性能有多種方式:執(zhí)行時間總和、算術(shù)平均、幾何平均。若用算術(shù)平均方式,則:因為 (10+0.003)/25000 > (5+0.006)/28000,所以M2的性價比高,應選擇M2。若用幾何平均方式,則:因為sqrt(100.003) 5000 < sqrt(50.006) 8000,所以M1的性價比高,應選擇M1。6若機器M1和M2具有相同的指令集,其時鐘頻率分別為1GHz和1.5GHz。在指令集中有五種不同類型的指令AE。下表給出了在M1和M2上每類指令的平均時鐘周期數(shù)CPI。機器ABCDEM112234M222456請回答下列問題:(1)M1和M2的峰值MIPS各是多少?(2)假定某程序P的指令序列中,五類指令具有完全相同的指令條數(shù),則程序P在M1和M2上運行時,哪臺機器更快?快多少?在M1和M2上執(zhí)行程序P時的平均時鐘周期數(shù)CPI各是多少?參考答案:(1)M1上可以選擇一段都是A類指令組成的程序,其峰值MIPS為1000MIPS。M2上可以選擇一段A和B類指令組成的程序,其峰值MIPS為1500/2=750MIPS。(2)5類指令具有完全相同的指令條數(shù),所以各占20%。在M1和M2上執(zhí)行程序P時的平均時鐘周期數(shù)CPI分別為: M1:20%(1+2+2+3+4)= 0.212 = 2.4M2:20%(2+2+4+5+6)= 0.219 = 3.8 假設程序P的指令條數(shù)為N,則在M1和M2上的執(zhí)行時間分別為:M1:2.4 N1/1G = 2.4N (ns)M2:3.8N1/1.5G = 2.53 N (ns) M1執(zhí)行P的速度更快,每條指令平均快0.13ns,也即M1比M2快0.13/2.53100%5%。(思考:如果說程序P在M1上執(zhí)行比M2上快 (3.82.4)/3.8100%= 36.8%,那么,這個結(jié)論顯然是錯誤的。請問錯在什么地方?)7假設同一套指令集用不同的方法設計了兩種機器M1和M2。機器M1的時鐘周期為0.8ns,機器M2的時鐘周期為1.2ns。某個程序P在機器M1上運行時的CPI為4,在M2上的CPI為2。對于程序P來說,哪臺機器的執(zhí)行速度更快?快多少?參考答案:假設程序P的指令條數(shù)為N,則在M1和M2上的執(zhí)行時間分別為:M1:4 N0.8 = 3.2N (ns)M2:2 N1.2 = 2.4 N (ns) 所以,M2執(zhí)行P的速度更快,每條指令平均快0.8ns,比M1快0.8/3.2100%=25%。8假設某機器M的時鐘頻率為4GHz,用戶程序P在M上的指令條數(shù)為8109,其CPI為1.25,則P在M上的執(zhí)行時間是多少?若在機器M上從程序P開始啟動到執(zhí)行結(jié)束所需的時間是4秒,則P占用的CPU時間的百分比是多少?參考答案:程序P在M上的執(zhí)行時間為:1.2581091/4G = 2.5 s,從啟動P執(zhí)行開始到執(zhí)行結(jié)束的總時間為4秒,其中2.5秒是P在CPU上真正的執(zhí)行時間,其他時間可能執(zhí)行操作系統(tǒng)程序或其他用戶程序。程序P占用的CPU時間的百分比為:2.5/4 = 62.5%。9假定某編譯器對某段高級語言程序編譯生成兩種不同的指令序列S1和S2,在時鐘頻率為500MHz的機器M上運行,目標指令序列中用到的指令類型有A、B、C和D四類。四類指令在M上的CPI和兩個指令序列所用的各類指令條數(shù)如下表所示。ABCD各指令的CPI1234S1的指令條數(shù)5221S2的指令條數(shù)1115請問:S1和S2各有多少條指令?CPI各為多少?所含的時鐘周期數(shù)各為多少?執(zhí)行時間各為多少?參考答案:S1有10條指令,CPI為 (51+22+23+14)/10=1.9, 所含的時鐘周期數(shù)為101.9=19,執(zhí)行時間為19/500M = 38ns。S2有8條指令,CPI為 (11+12+13+54)/8 =3.25, 所含的時鐘周期數(shù)為83.25=26,執(zhí)行時間為26/500M = 52ns。 (注:從上述結(jié)果來看,對于同一個高級語言源程序,在同一臺機器上所生成的目標程序不同,其執(zhí)行時間可能不同,而且,并不是指令條數(shù)少的目標程序執(zhí)行時間就一定少。)10假定機器M的時鐘頻率為1.2GHz,某程序P在機器M上的執(zhí)行時間為12秒鐘。對P優(yōu)化時,將其所有的乘4指令都換成了一條左移2位的指令,得到優(yōu)化后的程序P。已知在M上乘法指令的CPI為5,左移指令的CPI為2,P的執(zhí)行時間是P執(zhí)行時間的1.2倍,則P中有多少條乘法指令被替換成了左移指令被執(zhí)行?參考答案:顯然,P的執(zhí)行時間為10秒,因此,P比P多花了2秒鐘,因此,執(zhí)行時被換成左移指令的乘法指令的條數(shù)為1.2G2/(52) = 800M。第 二 章 習 題 答 案3實現(xiàn)下列各數(shù)的轉(zhuǎn)換。(1) (25.8125)10= (?)2= (?) 8= (?) 16(2) (101101.011)2 = (?)10= (?) 8= (?) 16= (?) 8421(3) (0101 1001 0110.0011)8421 = (?)10= (?) 2= (?) 16(4) (4E.C)16 = (?)10= (?) 2參考答案:(1)(25.8125)10 = (1 1001.1101)2 = (31.64) 8 = (19.D) 16(2)(101101.011)2 = (45.375)10 = (55.3) 8 = (2D.6) 16 = (0100 0101.0011 0111 0101) 8421(3)(0101 1001 0110.0011)8421 = (596.3)10 = (1001010100.01001100110011) 2 = (254.4CCC) 16(4)(4E.C)16 = (78.75)10 = (0100 1110.11) 24 假定機器數(shù)為8位(1位符號,7位數(shù)值),寫出下列各二進制數(shù)的原碼和補碼表示。+0.1001,0.1001,+1.0,1.0,+0.010100,0.010100,+0,0參考答案: 原碼 補碼+0.1001:0.10010000.10010000.1001:1.10010001.0111000+1.0:溢出溢出1.0:溢出1.0000000+0.010100: 0.01010000.01010000.010100:1.01010001.1011000+0:0.00000000.00000000:1.00000000.00000005 假定機器數(shù)為8位(1位符號,7位數(shù)值),寫出下列各二進制數(shù)的補碼和移碼表示。+1001,1001,+1,1,+10100,10100,+0,0參考答案: 移碼 補碼+1001: 10001001 000010011001:01110111 11110111+1:10000001000000011:01111111111111111+10100:100101000001010010100:0110110011101100+0:10000000000000000:10000000000000006 已知 x補,求x(1)x補=1.1100111 (2)x補=10000000(3)x補=0.1010010 (4)x補=11010011參考答案:(1)x補=1.1100111 x = 0.0011001B(2)x補=10000000 x = 10000000B = 128(3)x補=0.1010010 x = +0.101001B(4)x補=11010011 x = 101101B = 457假定一臺32位字長的機器中帶符號整數(shù)用補碼表示,浮點數(shù)用IEEE 754標準表示,寄存器R1和R2的內(nèi)容分別為R1:0000108BH,R2:8080108BH。不同指令對寄存器進行不同的操作,因而,不同指令執(zhí)行時寄存器內(nèi)容對應的真值不同。假定執(zhí)行下列運算指令時,操作數(shù)為寄存器R1和R2的內(nèi)容,則R1和R2中操作數(shù)的真值分別為多少?(1) 無符號數(shù)加法指令(2) 帶符號整數(shù)乘法指令(3) 單精度浮點數(shù)減法指令參考答案:R1 = 0000108BH = 0000 0000 0000 0000 0001 0000 1000 1011bR2= 8080108BH = 1000 0000 1000 0000 0001 0000 1000 1011b(1)對于無符號數(shù)加法指令,R1和R2中是操作數(shù)的無符號數(shù)表示,因此,其真值分別為R1:108BH, R2:8080108BH。(2)對于帶符號整數(shù)乘法指令,R1和R2中是操作數(shù)的帶符號整數(shù)補碼表示,由最高位可知, R1為正數(shù), R2為負數(shù)。R1的真值為+108BH, R2的真值為(0111 1111 0111 1111 1110 1111 0111 0100b + 1b) = 7F7FEF75H。(3)對于單精度浮點數(shù)減法指令,R1和R2中是操作數(shù)的IEEE754單精度浮點數(shù)表示。在IEEE 754 標準中,單精度浮點數(shù)的位數(shù)為32位,其中包含1位符號位,8位階碼,23位尾數(shù)。由R1中的內(nèi)容可知,其符號位為0,表示其為正數(shù),階碼為0000 0000,尾數(shù)部分為000 0000 0001 0000 1000 1011,故其為非規(guī)格化浮點數(shù),指數(shù)為126,尾數(shù)中沒有隱藏的1,用十六進制表示尾數(shù)為+0.002116H,故R1表示的真值為+0.002116H 10-126。由R2中的內(nèi)容可知,其符號位為1,表示其為負數(shù),階碼為0000 0001, 尾數(shù)部分為000 0000 0001 0000 1000 1011,故其為規(guī)格化浮點數(shù),指數(shù)為1127 = 126,尾數(shù)中有隱藏的1,用十六進制表示尾數(shù)為1.002116H,故R2表示的真值為1.002116H 10-1268假定機器M的字長為32位,用補碼表示帶符號整數(shù)。下表第一列給出了在機器M上執(zhí)行的C語言程序中的關(guān)系表達式,請參照已有的表欄內(nèi)容完成表中后三欄內(nèi)容的填寫。關(guān)系表達式運算類型結(jié)果說明0 = 0U1 < 01 < 0U2147483647 > 2147483647 12147483647U > 2147483647 12147483647 > (int) 2147483648U1 > 2(unsigned) 1 > 2無符號整數(shù)有符號整數(shù)無符號整數(shù)有符號整數(shù)無符號整數(shù)有符號整數(shù)有符號整數(shù)無符號整數(shù)11010 111000B = 000B111B (1) < 000B (0)111B (2321) > 000B(0)0111B (2311) > 1000B (231)0111B (2311) < 1000B(231)0111B (2311) > 1000B (231)111B (1) > 1110B (2)111B (2321) > 1110B (2322)9以下是一個C語言程序,用來計算一個數(shù)組a中每個元素的和。當參數(shù)len為0時,返回值應該是0,但是在機器上執(zhí)行時,卻發(fā)生了存儲器訪問異常。請問這是什么原因造成的,并說明程序應該如何修改。 1float sum_elements(float a, unsigned len)23int i;4float result = 0;56for(i = 0; i <= len1; i+)7result += ai;8return result;9參考答案:參數(shù)len的類型是unsigned,所以,當len=0時,執(zhí)行l(wèi)en-1的結(jié)果為111,是最大可表示的無符號數(shù),因而,任何無符號數(shù)都比它小,使得循環(huán)體被不斷執(zhí)行,引起數(shù)組元素的訪問越界,發(fā)生存儲器訪問異常。只要將len聲明為int型,或循環(huán)的測試條件改為i<len。10. 設某浮點數(shù)格式為:階碼S1尾數(shù)S1數(shù)符S11位S15位移碼S16位補碼S1其中,移碼的偏置常數(shù)為16,補碼采用一位符號位,基數(shù)為4。(1) 用這種格式表示下列十進制數(shù):+1.7,0.12,+19,1/8。(2) 寫出該格式浮點數(shù)的表示范圍,并與12位定點補碼整數(shù)表示范圍比較。參考答案:(假定采用0舍1入法進行舍入)(1) +1.7 = +1.1011001B = 0.011011B 41, 故階碼為1 +16 = 17 = 10001B, 尾數(shù)為+0.011011的補碼, 即0.011011,所以+1.7表示為0 10001 011011。0.12 = 0.000111101B = 0.011111B 41, 故階碼為 1 + 16 =15 = 01111B, 尾數(shù)為 0.011111的補碼,即1.100001, 所以0.12表示為1 01111 100001。+19 = +10011B = 0.010011B 43,故階碼為3 + 16 = 19 = 10011B, 尾數(shù)為0.010011,所以+19表示為0 10011 010011。1/8 = 0.125 = 0.001B = 0.100000 41,階碼為 1 + 16 = 15 = 01111B,尾數(shù)為 0.100000的補碼,即1.100000,所以1/8表示為1 01111 100000。(2)該格式浮點數(shù)表示的范圍如下。正數(shù)最大值:0.111111B 411111,即:0.333 415 (230 109)正數(shù)最小值:0.000001B 400000,即:0.001 416 (2341010)負數(shù)最大值:0.000001B 400000,即:0.001 416負數(shù)最小值:1.000000B 411111,即:1.000 415 因此,該格式浮點數(shù)的數(shù)量級在1010109之間。12位定點補碼整數(shù)的表示范圍為:211+(2111),即:20482047由此可見,定點數(shù)和浮點數(shù)的表示范圍相差非常大。11. 下列幾種情況所能表示的數(shù)的范圍是什么?(1) 16位無符號整數(shù)(2) 16位原碼定點小數(shù)(3) 16位補碼定點小數(shù)(4) 16位補碼定點整數(shù)(5) 下述格式的浮點數(shù)(基數(shù)為2,移碼的偏置常數(shù)為128)尾數(shù)S1階碼S1數(shù)符S18位移碼S17位原碼S11位S1參考答案:(1)無符號整數(shù):02161。(2)原碼定點小數(shù):(1215) + (1215)。(3)補碼定點小數(shù):1 + (1215)。(4)補碼定點整數(shù):32768 +32767。(5)浮點數(shù):負數(shù): (127)2+127 272128。正數(shù):+2135 (127) 2+127。12. 以IEEE 754單精度浮點數(shù)格式表示下列十進制數(shù)。+1.75,+19,1/8,258參考答案:+1.75 = +1.11B = 1.11B 20, 故階碼為0+127=01111111B, 數(shù)符為0,尾數(shù)為1.1100,小數(shù)點前為隱藏位,所以+1.7表示為0 01111111 110 0000 0000 0000 0000 0000,用十六進制表示為3FE00000H。+19 = +10011B = +1.0011B 24,故階碼為4+127 = 10000011B, 數(shù)符為0,尾數(shù)為1.001100,所以+19表示為0 10000011 001 1000 0000 0000 0000 0000,用十六進制表示為41980000H。1/8 = 0.125 = 0.001B = 1.0 23,階碼為3+127 = 01111100B,數(shù)符為1,尾數(shù)為1.00,所以1/8表示為1 01111100 000 0000 0000 0000 0000 0000,用十六進制表示為BE000000H。258=100000010B=1.0000001B 28, 故階碼為8+127=10000111B, 數(shù)符為0,尾數(shù)為1.0000001,所以258表示為0 10000111 000 0001 0000 0000 0000 0000,用十六進制表示為43810000H。13設一個變量的值為4098,要求分別用32位補碼整數(shù)和IEEE 754單精度浮點格式表示該變量(結(jié)果用十六進制表示),并說明哪段二進制序列在兩種表示中完全相同,為什么會相同?參考答案:4098 = +1 0000 0000 0010B = +1. 0000 0000 001 212 32位2-補碼形式為:0000 0000 0000 0000 0001 0000 0000 0010 (00001002H)IEEE754單精度格式為:0 10001011 0000 0000 0010 0000 0000 000 (45801000H) 粗體部分為除隱藏位外的有效數(shù)字,因此,在兩種表示中是相同的序列。14設一個變量的值為2147483647,要求分別用32位補碼整數(shù)和IEEE754單精度浮點格式表示該變量(結(jié)果用十六進制表示),并說明哪種表示其值完全精確,哪種表示的是近似值。參考答案:2147483647 = 111 1111 1111 1111 1111 1111 1111 1111B= 1.11 1111 1111 1111 1111 1111 1111 1111 230 32位2-補碼形式為:1000 0000 0000 0000 0000 0000 0000 0001 (80000001H)IEEE 754單精度格式為:1 10011101 1111 1111 1111 1111 1111 111 (CEFFFFFFH) 32位2-補碼形式能表示精確的值,而浮點數(shù)表示的是近似值,低位被截斷15下表給出了有關(guān)IEEE 754浮點格式表示中一些重要數(shù)據(jù)的取值,表中已經(jīng)有最大規(guī)格化數(shù)的相應內(nèi)容,要求填入其他浮點數(shù)的相應內(nèi)容。(注:表中a代表一個在1到10之間的正純小數(shù))項目階碼尾數(shù)單精度雙精度以2的冪次表示的值以10的冪次表示的值以2的冪次表示的值以10的冪次表示的值01最大規(guī)格化數(shù)最小規(guī)格化數(shù)最大非規(guī)格化數(shù)最小非規(guī)格化數(shù)+NaN00000000011111111111111000000001000000000000000011111111111111110.000.001110.001110010.00非全001(2223)21271.02126(1223)21262232126=214901a1038a1038a1038a104401(2252)210231.021022(1252)210222522102201a10308a10308a10308a10?16已知下列字符編碼:A=100 0001,a=110 0001,0=011 0000,求E、e、f、7、G、Z、5的7位ACSII碼和第一位前加入奇校驗位后的8位編碼。參考答案:E的ASCII碼為 A + (E A) = 100 0001 + 100 = 100 0101, 奇校驗位P = 0,第一位前加入奇校驗位后的8位編碼是0 100 0101。e的ASCII碼為a+ (e a) = 110 0001 + 100 = 110 0101, 奇校驗位P = 1, 第一位前加入奇校驗位后的8位編碼是1 110 0101。f的ASCII碼為a+ (f a) = 110 0001 + 101 = 110 0110, 奇校驗位P = 1, 第一位前加入奇校驗位后的8位編碼是 1 110 0110。7的ASCII碼為0+ (7 - 0) = 011 0000 + 111 = 011 0111,奇校驗位P = 0, 第一位前加入奇校驗位后的8位編碼是0 011 0111。G的ASCII碼為A+ (G A) = 100 0001 + 0110 = 100 0111, 奇校驗位P = 1, 第一位前加入奇校驗位后的8位編碼是1 100 0111。Z的ASCII碼為A+(Z A) = 100 0001 + 11001 = 101 1010, 奇校驗位P = 1, 第一位前加入奇校驗位后的8位編碼是 1 101 1010。5的ASCII碼為0+(5 0) = 011 0000 + 101 = 011 0101, 奇校驗位P = 1, 第一位前加入奇校驗位后的8位編碼是 1 011 0101。17假定在一個程序中定義了變量x、y和i,其中,x和y是float型變量(用IEEE754單精度浮點數(shù)表示),i是16位short型變量(用補碼表示)。程序執(zhí)行到某一時刻,x = 0.125、y=7.5、i=100,它們都被寫到了主存(按字節(jié)編址),其地址分別是100,108和112。請分別畫出在大端機器和小端機器上變量x、y和i在內(nèi)存的存放位置。參考答案:0.125 = 0.001B = 1.0 2-3x在機器內(nèi)部的機器數(shù)為:1 01111100 000 (BE00 0000H)7.5= +111.1B= +1.111 22y在機器內(nèi)部的機器數(shù)為:0 10000001 111000 (40F0 0000H)100=64+32+4=1100100Bi在機器內(nèi)部表示的機器數(shù)為:0000 0000 0110 0100(0064H)大端機 小端機地址內(nèi)容 內(nèi)容100BEH00H10100H00H10200H00H10300HBEH10840H00H109F0H 00H11000HF0H11100H40H11200H64H11364H00H18假定某計算機的總線采用奇校驗,每8位數(shù)據(jù)有一位校驗位,若在32位數(shù)據(jù)線上傳輸?shù)男畔⑹?F 3C AB 96H,則對應的4個校驗位應為什么?若接受方收到的數(shù)據(jù)信息和校驗位分別為87 3C AB 96H和0101B,則說明發(fā)生了什么情況,并給出驗證過程。 參考答案: 傳輸信息8F 3C AB 96H展開為1000 1111 0011 1100 1010 1011 1001 0110,每8位有一個奇校驗位,因此,總線上發(fā)送方送出的4個校驗位應該分別為0、1、0、1。 接受方的數(shù)據(jù)信息為87 3C AB 96H,展開后為1000 0111 0011 1100 1010 1011 1001 0110;接收到的校驗位分別為0、1、0、1。在接受方進行校驗判斷如下: 根據(jù)接收到的數(shù)據(jù)信息計算出4個奇校驗位分別為1、1、0、1,將該4位校驗位分別和接收到的4位校驗位進行異或,得到1、0、0、0,說明數(shù)據(jù)信息的第一個字節(jié)發(fā)生傳輸錯誤。對照傳輸前、后的數(shù)據(jù)信息,第一字節(jié)8FH變成了87H,說明確實發(fā)生了傳輸錯誤,驗證正確。 19寫出16位數(shù)據(jù)的SEC碼。假定數(shù)據(jù)為0101 0001 0100 0110,說明SEC碼如何正確檢測數(shù)據(jù)位5的錯誤。參考答案:對于16位數(shù)據(jù), 可以如下插入校驗位:M16 M15 M14 M13 M12 P5 M11 M10 M9 M8 M7 M6 M5 P4 M4 M3 M2 P3 M1 P2 P1其中Mi是原信息數(shù)據(jù), Pi是加入的校驗位, 對于各個校驗位的值可以如下計算P1 = M1M2M3M4M5M7M9M11M12M14M16 = 1P2 = M1M3M4M6M7M10M11M13M14 = 1P3 = M2M3M4M8M9M10M11M15M16 = 0P4 = M5M6M7M8M9M10M11 = 0P5 = M12M13M14M15M16 = 0所以此時P5 P4 P3 P2 P1 = 00011,第五位數(shù)據(jù)出錯時,數(shù)據(jù)字變?yōu)椋?101 0001 0101 0110,P5P4P3P2P1= 01010,故障字 = 0001101010 = 01001,說明碼字第9位出錯,即M5出錯。20假設要傳送的數(shù)據(jù)信息為:100011,若約定的生成多項式為:G(x)= x3+1,則校驗碼為多少?假定在接收端接收到的數(shù)據(jù)信息為100010,說明如何正確檢測其錯誤,寫出檢測過程。參考答案:原數(shù)據(jù)信息為100011,對應的報文多項式為M(x) = x5 + x + 1, 生成多項式的位數(shù)為4位, 所以在原數(shù)據(jù)信息后面添加3個0,變?yōu)镸(x) = x3M(x) = x8 + x4 + x3, 用M(x)去模2除G(x),得到的余數(shù)為111, 所以得到CRC碼為100011 111。檢測時, 用接收到的CRC碼去模2除生成多項式1001,若得到的余數(shù)為0,則表明正確,否則說明傳輸時發(fā)生了錯誤。此題中接收到的CRC碼為100010 111(即數(shù)據(jù)100010加檢驗位111),顯然,用100010 111 模2除 1001,得到余數(shù)為001,不為0,說明傳輸時發(fā)生錯誤。第 3 章 習 題 答 案2(4)高級語言中的運算和機器語言(即指令)中的運算是什么關(guān)系?假定某一個高級語言源程序P中有乘、除運算,但機器M中不提供乘、除運算指令,則程序P能否在機器M上運行?為什么?參考答案:(略)3考慮以下C語言程序代碼:int func1(unsigned word)return (int) ( word <<24) >> 24);int func2(unsigned word)return ( (int) word <<24 ) >> 24;假設在一個32位機器上執(zhí)行這些函數(shù),該機器使用二進制補碼表示帶符號整數(shù)。無符號數(shù)采用邏輯移位,帶符號整數(shù)采用算術(shù)移位。請?zhí)顚懴卤?,并說明函數(shù)func1和func2的功能。Wfunc1(w)func2(w)機器數(shù)值機器數(shù)值機器數(shù)值0000 007FH1270000 007FH+1270000 007FH+1270000 0080H1280000 0080H+128FFFF FF80H1280000 00FFH2550000 00FFH+255FFFF FFFFH10000 0100H2560000 0000H00000 0000H0函數(shù)func1的功能是把無符號數(shù)高24位清零(左移24位再邏輯右移24位),結(jié)果一定是正的有符號數(shù);而函數(shù)func2的功能是把無符號數(shù)的高24位都變成和第25位一樣,因為左移24位后進行算術(shù)右移,高24位補符號位(即第25位)。4填寫下表,注意對比無符號數(shù)和帶符號整數(shù)的乘法結(jié)果,以及截斷操作前、后的結(jié)果。模式xyxy(截斷前)xy(截斷后)機器數(shù)值機器數(shù)值機器數(shù)值機器數(shù)值無符號數(shù)11060102001100121004二進制補碼1102010+211110041004無符號數(shù)0011111700011171117二進制補碼001+1111111111111111無符號數(shù)11171117110001490011二進制補碼11111111000001+1001+15以下是兩段C語言代碼,函數(shù)arith( )是直接用C語言寫的,而optarith( )是對arith( )函數(shù)以某個確定的M和N編譯生成的機器代碼反編譯生成的。根據(jù)optarith( ),可以推斷函數(shù)arith( ) 中M和N的值各是多少?#define M #define N int arith(int x, int y)int result = 0 ;result = x*M + y/N; return result;int optarith ( int x, int y)int t = x;x << = 4;x - = t;if ( y < 0 ) y += 3;y>>2;return x+y;參考答案:可以看出x*M和“int t = x; x << = 4;x-=t;”三句對應,這些語句實現(xiàn)了x乘15的功能(左移4位相當于乘以16,然后再減1),因此,M等于15;y/N與“if ( y < 0 ) y += 3; y>>2;”兩句對應,功能主要由第二句“y右移2位”實現(xiàn),它實現(xiàn)了y除以4的功能,因此N是4。而第一句“if ( y < 0 ) y += 3;”主要用于對y=1時進行調(diào)整,若不調(diào)整,則1>>2=1而1/4=0,兩者不等;調(diào)整后 1+3=2,2>>2=0,兩者相等。思考:能否把 if ( y < 0 ) y += 3; 改成 if ( y < 0 ) y += 2; ?不能!因為y = - 4時不正確。6設A4A1和B4B1分別是四位加法器的兩組輸入,C0為低位來的進位。當加法器分別采用串行進位和先行進位時,寫出四個進位C4 C1的邏輯表達式。參考答案:串行進位:C1 = X1C0+Y1C0 + X1 Y1 C2 = X2C1+Y2C1 + X2 Y2 C3 = X3C2+Y3C2 + X3 Y3 C4 = X4C3+Y4C3 + X4 Y4 并行進位:C1 = X1Y1 + (X1+Y1)C0C2 = X2Y2 + (X2 +Y2) X1Y1 + (X2+Y2) (X1+Y1)C0C3 = X3Y3 + (X3 + Y3) X2Y2 + (X3 + Y3) (X2 + Y2) X1Y1 + (X3 + Y3) (X2 + Y2)(X1 + Y1)C0C4=X4Y4+(X4+Y4)X3Y3+(X4+Y4)(X3+Y3)X2Y2+(X4+Y4)(X3+Y3)(X2+Y2)X1Y1+(X4+Y4)(X3+Y3) (X2+Y2)(X1+Y1)C07用SN74181和SN74182器件設計一個16位先行進位補碼加/減運算器,畫出運算器的邏輯框圖,并給出零標志、進位標志、溢出標志、符號標志的生成電路。參考答案(圖略):邏輯框圖參見教材中的圖3.15和圖3.16,將兩個圖結(jié)合起來即可,也即只要將圖3.15中的B輸入端的每一位Bi取反,得到Bi,和原碼Bi一起送到一個二路選擇器,由進位C0作為選擇控制信號。當C0為1時做減法,此時,選擇將Bi作為SN74181的B輸入端;否則,當C0為1時,做加法。零標志ZF、進位標志CF、溢出標志OF、符號標志SF的邏輯電路根據(jù)以下邏輯表達式畫出即可。ZF=F15+F14+F13+F12+F11+F10+F9+F8+F7+F6+F5+F4+F3+F2+F1+F0CF=C16OF= C0(A15B15F15 + A15B15F15)+ C0(A15B15F15 + A15B15F15)SF= F158 用SN74181和SN74182器件設計一個32位的ALU,要求采用兩級先行進位結(jié)構(gòu)。(1) 寫出所需的SN74181和SN74182芯片數(shù)。(2) 畫出32位ALU的邏輯結(jié)構(gòu)圖。參考答案(圖略): 將如圖3.15所示的兩個16位ALU級聯(lián)起來即可,級聯(lián)時,低16位ALU的高位進位C16作為高16位ALU的低位進位C0,因此,只要用8片SN74181和2片SN74182。9已知x = 10,y = 6,采用6位機器數(shù)表示。請按如下要求計算,并把結(jié)果還原成真值。(1) 求x+y補,xy補。(2) 用原碼一位乘法計算xy原。(3) 用MBA(基4布斯)乘法計算xy補。(4) 用不恢復余數(shù)法計算x/y原的商和余數(shù)。(5) 用不恢復余數(shù)法計算x/y補的商和余數(shù)。參考答案:10補 = 001010 6補 = 111010 6補 = 000110 10原 = 001010 6原 = 100110 (1) 10+( 6)補= 10補+ 6補= 001010+111010 = 000100 (+4) 10(6)補= 10補+ (6)補 = 001010+000110 = 010000 (+16) (2) 先采用無符號數(shù)乘法計算001010 000110的乘積,原碼一位乘法過程(前面兩個0省略)如下: C P Y 說明 0 0 0 0 0 0 1 1 0 P0 = 0 + 0 0 0 0 y4 = 0,+0 0 0 0 0 0 C, P 和Y同時右移一位 0 0 0 0 0 0 0 1 1 得P1+ 1 0 1 0 y3 = 1,+X 0 1 0 1 0 C, P 和Y同時右移一位 0 0 1 0 1 0 0 0 1 得P2 + 1 0 1 0 y2 = 1,+X 0 1 1 1 1 0 0 0 0 C, P 和Y同時右移一位 0 0 1 1 1 1 0 0 0 得P3 + 0 0 0 0 y1 = 0,+0 0 0 1 1 1 C, P 和Y同時右移一位 0 0 0 1 1 1 1 0 0 得P4 若兩個6位數(shù)相乘的話,則還要右移兩次,得 000000 111100符號位為:0 1 = 1,因此,XY原 = 1000 0011 1100即X Y = 11 1100B = 60(3) 10補 = 110110,布斯乘法過程如下: P Y y-1 說明 0 0 0 0 0 0 1 1 1 0 1 0 0 設y-1 = 0,P0補 = 0 y0 y-1 = 00,P、Y直接右移一位0 0 0 0 0 0 0 1 1 1 0 1 0 得P1補+ 1 1 0 1 1 0 y1 y0 =10,+X補1 1 0 1 1 0 P、Y同時右移一位1 1 1 0 1 1 0 0 1 1 1 0 1 得P2補 + 0 0 1 0 1 0 y2 y1 =01,+X補 0 0 0 1 0 1 P、Y同時右移一位0 0 0 0 1 0 1 0 0 1 1 1 0 得P3補+ 1 1 0 1 1 0 1 0 0 1 1 1 0 y3 y2 = 10,+X補 1 1 1 0 0 0 P、Y同時右移一位 1 1 1 1 0 0 0 1 0 0 1 1 1 得P4補+ 0 0 0 0 0 0 0 1 0 0 1 1 1 y4 y3 = 11,+0 1 1 1 1 0 0 P、Y同時右移一位 1 1 1 1 1 0 0 0 1 0 0 1 1 得P5補+ 0 0 0 0 0 0 0 0 1 0 0 1 1 y5 y4 = 11,+0 1 1 1 1 1 0 P、Y同時右移一位 1 1 1 1 1 1 0 0 0 1 0 0 1 得P6補因此,X Y補=1111 1100 0100,即X Y = 11 1100B= 60(4) 因為除法計算是2n位數(shù)除n位數(shù),所以6原=0110,10原=0000 1010,6補=1010,商的符號位:0 1 = 1,運算過程(前面兩個0省略)如下: 余數(shù)寄存器R 余數(shù)/商寄存器Q 說 明 0 0 0 0 1 0 1 0 開始R0 = X+ 1 0 1 0 R1 = XY1 0 1 0 1 0 1 0 0 R1< 0,則q 4 = 0,沒有溢出 0 1 0 1 0 1 0 0 2R1(R和Q同時左移,空出一位商)+ 0 1 1 0 R2 = 2R1+Y 1 0 1 1 0 1 0 0 0 R2 < 0,則q 3 = 0 0 1 1 0 1 0 0 0 2R2 (R和Q同時左移,空出一位商)+ 0 1 1 0 R3 = 2R2 +Y 1 1 0 0 1 0 0 0 0 R3 < 0,則q 2 = 0 1 0 0 1 0 0 0 0 2R3 (R和Q同時左移,空出一位商)+ 0 1 1 0 R3 = 2R2 +Y 1 1 1 1 0 0 0 0 0 R4 < 0,則q1 = 0 1 1 1 0 0 0 0 0 2R4 (R和Q同時左移,空出一位商)+ 0 1 1 0 R5 = 2R4 +Y 0 1 0 0 0 0 0 0 1 R5 > 0,則q 0 = 1商的數(shù)值部分為:00001。所以,X/Y原=00001 (最高位為符號位),余數(shù)為0100。(5) 將10和6分別表示成補碼形式為:10 補 = 0 1010 , 6 補 = 1 1010,計算過程如下:先對被除數(shù)進行符號擴展,10 補=00000 01010,6 補 = 0 0110 余數(shù)寄存器R 余數(shù)/商寄存器Q 說 明0 0 0 0 0 0 1 0 1 0 開始R0 = X + 1 1 0 1 0 R1=X +Y1 1 0 1 0 0 1 0 1 0 R1與Y同號,則q5 =11 0 1 0 0 1 0 1 0 1 2R1(R和Q同時左移,空出一位上商1)+0 0 1 1 0 R2 = 2R1+Y1 1 0 1 0 1 0 1 0 1 R2與Y同號,則q4= 1,1 0 1 0 1 0 1 0 1 1 2R2(R和Q同時左移,空出一位上商1)+ 0 0 1 1 0 R3 = 2R2 +-Y1 1 0 1 1 0 1 0 1 1 R3與Y同號,則q3 = 11 0 1 1 0 1 0 1 1 1 2R3(R和Q同時左移,空出一位上商1)+ 0 0 1 1 0 R4 = 2R3 +Y1 1 1 0 0 1 0 1 1 1 R4與Y同號,則q 2 = 1 1 1 0 0 1 0 1 1 1 1 2R4 (R和Q同時左移,空出一位上商0) + 0 0 1 1 0 R5= 2R4 +-Y1 1 1 1 1 0 1 1 1 1

注意事項

本文(計算機組成與系統(tǒng)結(jié)構(gòu)課后答案全(清華大學出版社-袁春風主編))為本站會員(gbs****77)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!