歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)字電子技術(shù)題庫及答案.doc

  • 資源ID:116398573       資源大?。?span id="o6e8eu6" class="font-tahoma">1.23MB        全文頁數(shù):37頁
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

數(shù)字電子技術(shù)題庫及答案.doc

數(shù)字電子技術(shù)習(xí)題庫一、單項選擇題(本大題共15小題,每小題2分,共30分)(在每小題列出的四個備選項中只有一個是最符合題目要求的,請將其代碼填寫在題后的括號內(nèi)。錯選、多選或未選均無分。)1.函數(shù)F(A,B,C)=AB+BC+AC的最小項表達式為( ) 。AF(A,B,C)=m(0,2,4) B. (A,B,C)=m(3,5,6,7)CF(A,B,C)=m(0,2,3,4) D. F(A,B,C)=m(2,4,6,7)28線3線優(yōu)先編碼器的輸入為I0I7 ,當優(yōu)先級別最高的I7有效時,其輸出的值是( )。A111 B. 010 C. 000 D. 1013十六路數(shù)據(jù)選擇器的地址輸入(選擇控制)端有( )個。 A16 B.2 C.4 D.84. 有一個左移移位寄存器,當預(yù)先置入1011后,其串行輸入固定接0,在4個移位脈沖CP作用下,四位數(shù)據(jù)的移位過程是( )。 A. 1011-0110-1100-1000-0000 B. 1011-0101-0010-0001-0000 C. 1011-1100-1101-1110-1111 D. 1011-1010-1001-1000-01115已知74LS138譯碼器的輸入三個使能端(E1=1, E2A = E2B=0)時,地址碼A2A1A0=011,則輸出 Y7 Y0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 111111116. 一只四輸入端或非門,使其輸出為1的輸入變量取值組合有( )種。A15B8 C7D17. 隨機存取存儲器具有( )功能。A.讀/寫 B.無讀/寫 C.只讀 D.只寫8N個觸發(fā)器可以構(gòu)成最大計數(shù)長度(進制數(shù))為( )的計數(shù)器。000001010011100101110111 A.N B.2N C.N2 D.2N9某計數(shù)器的狀態(tài)轉(zhuǎn)換圖如下,其計數(shù)的容量為( )A 八 B. 五 C. 四 D. 三10已知某觸發(fā)的特性表如下(A、B為觸發(fā)器的輸入)其輸出信號的邏輯表達式為( )。ABQn+1說明00Qn保持010置0101置111Qn翻轉(zhuǎn)A Qn+1 A B. C. D. Qn+1 B11 有一個4位的D/A轉(zhuǎn)換器,設(shè)它的滿刻度輸出電壓為10V,當輸入數(shù)字量為1101時,輸出電壓為( )。A 8.125V B.4V C. 6.25V D.9.375V12函數(shù)F=AB+BC,使F=1的輸入ABC組合為( )AABC=000BABC=010 CABC=101DABC=11013已知某電路的真值表如下,該電路的邏輯表達式為( )。A B. C DABCYABCY0000100000111011010011010111111114四個觸發(fā)器組成的環(huán)行計數(shù)器最多有( )個有效狀態(tài)。 A.4 B. 6 C. 8 D. 16 二、填空題(每空1分,共20分)1.有一數(shù)碼10010011,作為自然二進制數(shù)時,它相當于十進制數(shù)( ),作為8421BCD碼時,它相當于十進制數(shù)( )。2.三態(tài)門電路的輸出有高電平、低電平和( )3種狀態(tài)。3TTL與非門多余的輸入端應(yīng)接( )。 4TTL集成JK觸發(fā)器正常工作時,其和端應(yīng)接( )電平。5. 已知某函數(shù),該函數(shù)的反函數(shù)=( )。 6. 如果對鍵盤上108個符號進行二進制編碼,則至少要( )位二進制數(shù)碼。7. 典型的TTL與非門電路使用的電路為電源電壓為( )V,其輸出高電平為( )V,輸出低電平為( )V, CMOS電路的電源電壓為( ) V 。874LS138是3線8線譯碼器,譯碼為輸出低電平有效,若輸入為A2A1A0=110時,輸出 應(yīng)為( )。9將一個包含有32768個基本存儲單元的存儲電路設(shè)計16位為一個字節(jié)的ROM。該ROM有( )根地址線,有( )根數(shù)據(jù)讀出線。10. 兩片中規(guī)模集成電路10進制計數(shù)器串聯(lián)后,最大計數(shù)容量為( )位。11. 下圖所示電路中, Y1ABY1Y2Y3( );Y2 ( );Y3 ( )。12. 某計數(shù)器的輸出波形如圖1所示,該計數(shù)器是( )進制計數(shù)器。13驅(qū)動共陽極七段數(shù)碼管的譯碼器的輸出電平為( )有效。 三、判斷說明題(本大題共2小題,每小題5分,共10分)(判斷下列各題正誤,正確的在題后括號內(nèi)打“”,錯誤的打“”。)1、邏輯變量的取值,比大。( )2、D/A轉(zhuǎn)換器的位數(shù)越多,能夠分辨的最小輸出電壓變化量就越小( )。 3八路數(shù)據(jù)分配器的地址輸入(選擇控制)端有8個。( )4、因為邏輯表達式A+B+AB=A+B成立,所以AB=0成立。( )5、利用反饋歸零法獲得N進制計數(shù)器時,若為異步置零方式,則狀態(tài)SN只是短暫的過渡狀態(tài),不能穩(wěn)定而是立刻變?yōu)?狀態(tài)。( )6在時間和幅度上都斷續(xù)變化的信號是數(shù)字信號,語音信號不是數(shù)字信號。( )7.約束項就是邏輯函數(shù)中不允許出現(xiàn)的變量取值組合,用卡諾圖化簡時,可將約束項當作1,也可當作 0。( )8時序電路不含有記憶功能的器件。( )9計數(shù)器除了能對輸入脈沖進行計數(shù),還能作為分頻器用。( )10優(yōu)先編碼器只對同時輸入的信號中的優(yōu)先級別最高的一個信號編碼. ( )四、綜合題(共30分)1對下列Z函數(shù)要求:(1)列出真值表;(2)用卡諾圖化簡;(3)畫出化簡后的邏輯圖。(8分)Z= BC=0()真值表 (2分) (2)卡諾圖化簡(2分) (3) 表達式(2分) 邏輯圖(2分) 2試用3線8線譯碼器74LS138和門電路實現(xiàn)下列函數(shù)。(8分) Z(A、B、C)=AB+C STAY7Y5Y6Y4Y3Y2Y1Y0STCSTBA0A1A274LS138 374LS161是同步4位二進制加法計數(shù)器,其邏輯功能表如下,試分析下列電路是幾進制計數(shù)器,并畫出其狀態(tài)圖。(8分)74LS161邏輯功能表CTPCTTCPQ3 Q2 Q1 Q00111CR LD CTP CTT D3 D2 D1 D0Q3 Q2 Q1 Q0CO74LS161CPCP&“1”“1”“1”101110101 0 0 0 0D3 D2 D1 D0Q3 Q2 Q1 Q0Q3 Q2 Q1 Q0 加法計數(shù)4觸發(fā)器電路如下圖所示,試根據(jù)CP及輸入波形畫出輸出端Q1 、Q2 的波形。設(shè)各觸發(fā)器的初始狀態(tài)均為“0”(6分)。CPAQ1Q2參考答案二、填空題(每空1分,共20分)1. 147 , 93 2. 高阻 3 高電平或懸空 4 高 5. = 6. 7 7. 5 , 3.6 ,0.35 , 318 8 10111111 9 11 ,16 10. 100 11. Y1A B; Y2A B + A B;Y3A B13. 5 14低 一、選擇題(共30分,每題2分)123456789101112131415ACCACAADBCADCDB三、判斷題(每題2分,共20分)12345678910四、綜合題(共30分,每題10分)1解:()真值表 (2分) (2)卡諾圖化簡(2分) A B C10BCA010010111111 Z 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 0 0 1 1 0 1 1 1 1 0 0 1 1 1 ( 3 ) 表達式(2分, ( 4 ) 邏輯圖(2分)=11ZCBA Z=AB+C BC=0 2 解:Z(A、B、C)=AB+C=AB(C+)+C(B+)STAY7Y5Y6Y4Y3Y2Y1Y0STCSTBA0A1A274LS138CBA“1”&Z=ABC+AB+BC+C= m 1+ m 3+ m 6+ m 7= (4分)(4分)CR LD CTP CTT D3 D2 D1 D0Q3 Q2 Q1 Q0CO74LS161CPCP&“1”“1”“1”3解:1當74LS161從0000開始順序計數(shù)到1010時,與非門輸出“0”,清零信號到來,異步清零。(2分)2該電路構(gòu)成同步十進制加法計數(shù)器。(2分)00000001100110001010001101110010010101100100876542319103狀態(tài)圖(4分)CPAQ1Q24Q1、Q2的波形各3分。一.填空題(每小題2分,共20分)1.CMOS傳輸門的靜態(tài)功耗非常小,當輸入信號的頻率增加時,其功耗將_。2. 寫出四種邏輯函數(shù)的表示方法:_;3.邏輯電路中,高電平用1表示,低電平用0表示,則稱為_邏輯;4. 把JK觸發(fā)器改成T觸發(fā)器的方法是_。5. 組合邏輯電路是指電路的輸出僅由當前的_決定。6. 5個地址輸入端譯碼器,其譯碼輸出信號最多應(yīng)有_個。7. 輸入信號的同時跳變引起輸出端產(chǎn)生尖峰脈沖的現(xiàn)象叫做_。8一片ROM有10根地址線,8根數(shù)據(jù)輸出線,ROM共有_個存儲單元。9N個觸發(fā)器組成的計數(shù)器最多可以組成_進制的計數(shù)器。8. 基本RS觸發(fā)器的約束條件是_。二. 單項選擇題:(在每小題的備選答案中選出一個正確的答案,并將正確答案的字母填入下表中對應(yīng)的格子里。每小題2分,共20分。)題號12345678910答案1十進制數(shù)128的8421BCD碼是( )。A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函數(shù)F的卡諾圖如圖1-1, 試求其最簡與或表達式3. 已知函數(shù)的反演式為,其原函數(shù)為( )。A B C D4對于TTL數(shù)字集成電路來說,下列說法那個是錯誤的:(A) 電源電壓極性不得接反,其額定值為5V;(B) 不使用的輸入端接1;(C) 輸入端可串接電阻,但電阻值不應(yīng)太大;(D) OC門輸出端可以并接。5欲將正弦信號轉(zhuǎn)換成與之頻率相同的脈沖信號,應(yīng)用 A.T,觸發(fā)器 B.施密特觸發(fā)器 C.A/D轉(zhuǎn)換器 D.移位寄存器6下列A/D轉(zhuǎn)換器中轉(zhuǎn)換速度最快的是( )。A.并聯(lián)比較型 B.雙積分型 C.計數(shù)型 D.逐次漸近型7. 一個含有32768個存儲單元的ROM,有8個數(shù)據(jù)輸出端,其地址輸入端有()個。A. 10 B. 11 C. 12 D. 88. 如圖1-2,在TTL門組成的電路中,與非門的輸入電流為IiL1mAIiH20A。G1輸出低電平時輸出電流的最大值為IOL(max)=10mA,輸出高電平時最大輸出電流為IOH(max)=0.4mA 。門G1的扇出系數(shù)是( )。A. 1 B. 4 C. 5 D. 109.十數(shù)制數(shù)2006.375轉(zhuǎn)換為二進制數(shù)是:A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.01110. TTL或非門多余輸入端的處理是:A. 懸空 B. 接高電平 C. 接低電平 D.接”1”三電路分析題(36分)VI1.圖3-1(a)所示電路, 移位寄存器原來的數(shù)據(jù)是,數(shù)據(jù)從Di順序輸入到移位寄存器,試問:(1) 在圖3-1(b)所示輸入波形作用下,在T1到T2期間,輸出端X、Y的波形?(2) 該電路的邏輯功能?(12分) 2. 圖3-2為兩個時鐘發(fā)生器,圖中R1=510, R2=10K,C=0.1uF。(12分)(1) 寫出JK觸發(fā)器的狀態(tài)方程及輸出V1、V2的表達式;(2) 畫出555定時器的輸出VO以及V1、V2的波形;(3) 計算V1的周期和脈沖寬度Tw.555定時器功能表4腳6腳2腳3腳7腳00導(dǎo)通12/3VCC1/3VCC0導(dǎo)通11/3VCC不變不變12/3VCC2/3VCC1/3VCC1截止3雙積分A/D轉(zhuǎn)換器如圖3-3所示,試回答以下問題: (12分)(1)若被測電壓Vi的最大值為2V, 要求分辯率小于0.1mV, 問二進制計數(shù)器是多少位的?(2)若時鐘脈沖頻率為200kHz, 則對Vi進行采樣的時間T1為多長?(3)若時鐘脈沖頻率為200kHz, , 已知,輸出電壓Vo的最大值為5V, 積分時間常數(shù)是多少?四電路設(shè)計題(24分)1) 試用一片雙4選1的數(shù)據(jù)選擇器74LS153和必要的門電路,設(shè)計下面邏輯函數(shù),并在器件圖上畫出相應(yīng)的電路圖。(10分)雙4選1的數(shù)據(jù)選擇器74LS153器件的器件圖和功能表輸入輸出() ()0 (0)0 0 ()0 (0)0 1 () 0 (0)1 0 () 0 (0)1 1 () 1 (1)X X0 (0)2. 試用JK觸發(fā)器和門電路設(shè)計一個十三進制的計數(shù)器, 并檢查設(shè)計的電路能否自啟動。(14分)試卷(2007.1)A(答案)一.填空題(每小題2分,共20分)1.CMOS傳輸門的靜態(tài)功耗非常小,當輸入信號的頻率增加時,其功耗將增大。2.邏輯函數(shù)的四種表示方法是真值表、邏輯電路圖、邏輯函數(shù)式、卡諾圖。3.邏輯電路中,高電平用1表示,低電平用0表示,則稱為正邏輯;4. 把JK觸發(fā)器改成T觸發(fā)器的方法是J=K=T。5. 組合邏輯電路是指電路的輸出僅由當前的輸入決定。6. 5變量輸入譯碼器,其譯碼輸出信號最多應(yīng)有32個。7. 輸入信號的同時跳變引起輸出端產(chǎn)生尖峰脈沖的現(xiàn)象叫做競爭冒險現(xiàn)象。8一片ROM有10根地址線,8根數(shù)據(jù)輸出線,ROM共有8192個存儲單元。9N個觸發(fā)器組成的計數(shù)器最多可以組成2n進制的計數(shù)器。8. 基本RS觸發(fā)器的約束條件是RS=0。二. 單項選擇題:(在每小題的備選答案中選出一個正確的答案,并將正確答案的字母填入下表中對應(yīng)的格子里。每小題2分,共20分。)題號12345678910答案BCBBBACDAC三電路分析題(36分)VI1.圖3-1(a)所示電路, 移位寄存器原來的數(shù)據(jù)是,數(shù)據(jù)從Di順序輸入到移位寄存器,試問:在圖3-1(b)所示輸入波形作用下,在T1到T2期間,輸出端X、Y的波形? (12分)(1) 2. 圖3-2為兩個時鐘發(fā)生器,圖中R1=510, R2=10K,C=0.1uF。(12分)(1) 寫出觸發(fā)器的狀態(tài)方程及輸出V1、V2的方程;(2) 畫出555定時器的輸出VO以及V1、V2的波形;(3) 計算V1的周期和脈沖寬度Tw.(1) ,(2)(3)3雙積分A/D轉(zhuǎn)換器如圖3-3所示,試回答以下問題: (12分)(1)若被測電壓Vi的最大值為2V, 要求可分辯的電壓小于0.1mV, 問二進制計數(shù)器是多少位的?(2)若時鐘脈沖頻率為200kHz, 則對Vi進行采樣的時間T1為多長?(3)若時鐘脈沖頻率為200kHz, , 已知,輸出電壓Vo的最大值為5V, 積分時間常數(shù)是多少?(1),所以(2)(3), 所以四電路設(shè)計題(24分)1) 試用一片雙4選1的數(shù)據(jù)選擇器74LS153和必要的門電路,設(shè)計下面邏輯函數(shù),并在器件圖上畫出相應(yīng)的電路圖。(10分)雙4選1的數(shù)據(jù)選擇器74LS153器件的器件圖和功能表輸入輸出() ()0 (0)0 0 ()0 (0)0 1 () 0 (0)1 0 () 0 (0)1 1 () 1 (1)X X0 (0) 令. 則2. 試用JK觸發(fā)器和門電路設(shè)計一個十三進制的計數(shù)器, 并檢查設(shè)計的電路能否自啟動。(14分)解:根據(jù)題意,得狀態(tài)轉(zhuǎn)換圖如下:所以:能自啟動。因為: 評分標準2007.1(本科)一.單項選擇題: 每小題2分,共20分。二.填空題: 每小題2分,共20分。三電路分析題1共12分,其中寫出觸發(fā)器的激勵方程或輸出X、Y的邏輯式 3分畫對Q2Q1Q0的波形 3分畫對兩個觸發(fā)器的輸入端D的波形 3分畫對輸出X、Y的波形 3分四 設(shè)計題1共10分,其中寫出Y的最小項之和的標準形式 3分把4選一的選擇器擴展成8選一的選擇器 2分正確確定A3A2A1以及D0D1D2D3D4D5D6D7 3分畫出邏輯圖 2分2共14分,其中狀態(tài)轉(zhuǎn)換圖 3分卡諾圖化簡,得狀態(tài)方程 3分求激勵方程 3分畫出邏輯圖 3分自啟動分析 2分數(shù)字電子技術(shù)模擬試題一、單項選擇題(每個3分,共15分)1、圖1的國標邏輯符號中 (11) 是異或門。 圖1 2、下列邏輯函數(shù)表達式中可能存在競爭冒險的是 (12) 。A B C D 3、下面邏輯式中,不正確的是_ (13)_。A.B. C. D. 4、時序邏輯電路中必須有_(14)_。A. 輸入邏輯變量 B. 時鐘信號 C. 計數(shù)器 D. 編碼器5、有S1,S2兩個狀態(tài),條件 (15) 可以確定S1和S2不等價。A. 輸出相同B. 輸出不同 C. 次態(tài)相同 D. 次態(tài)不同二、填空題(每題2分,共20分)1、十六進制數(shù)97,對應(yīng)的十進制數(shù)為 (1) 。2、“至少有一個輸入為0時,輸出為 (2) ”描述的是與運算的規(guī)則。3、 (3) 變量邏輯函數(shù)有16個最小項。4、基本邏輯運算有: (4) 、 (5) 和 (6) 運算。5、兩二進制數(shù)相加時,不考慮低位的進位信號是 (7) 加器。6、TTL器件輸入腳懸空相當于輸入 (8) 電平。7、RAM的三組信號線包括: (9) 線、地址線和控制線。8、采用四位比較器對兩個四位數(shù)比較時,先比較 (10) 位。三、簡答題(共10分)1、證明:(4分)2、某邏輯函數(shù)的真值表如表1所示,畫出卡諾圖。(6分) 表1 某邏輯函數(shù)的真值表ABCF000000110101011X100X10101101111X四、分析題(20分)Z圖2分析圖2所示電路的邏輯功能。1)列出其時鐘方程:(2分) CP1 ;CP0 。2)列出其驅(qū)動方程:(4分)J1 ;K1 ;J0 ;K0 。3)列出其輸出方程:(1分) Z 4)求次態(tài)方程:(4分) ; 5)作狀態(tài)表及狀態(tài)圖(9分) 五、波形題(10分)已知輸入信號X,Y,Z的波形如圖3所示,試畫出的波形。圖3 波形圖六、設(shè)計題(25分)1、設(shè)計一電路,譯出對應(yīng)ABCD=0110、1010態(tài)的兩個信號,作邏輯圖。(10分)2、用74LS151實現(xiàn)已知74LS151的功能表如表2所示,邏輯符號如圖4所示。(15分) 表2 74LS151的功能表ENA2A1A0Y1XXX00000D00001D10010D20011D30100D40101D50110D60111D7圖4 74LS151的邏輯符號 模擬卷答案一、選擇題(每個3分,共15分)11、B 12、C 13、A 14、B 15、B二、填空題(每個2分,共20分)1、151 2、0 3、4 4、與 5、或 6、非 7、半 8、高 9、數(shù)據(jù) 10、最高 (注:46答案順序可交換)三、簡答題(共10分)1、(4分)2、 結(jié)構(gòu)2分,填圖4分四、分析題(共20分)1、CP1=CP CP0=CP (每個1分,共2分)2、J1=Q0 K1=1 J0= K0= (每個1分,共4分)3、Z=XQ1Q0 (1分)4、 (每個2分,共4分)5、略五、波形題(10分)六、設(shè)計題(25分)1、2、密 封 線姓名班級學(xué)號一、 (11%)(1)、(110.101)2=( )10,(12.7)10=( )2(2)、構(gòu)成組合邏輯電路的基本邏輯單元電路是( ),構(gòu)成時序邏輯電路的基本邏輯單元電路是( )。(3)、TTL反相器的電壓傳輸特性曲線中,轉(zhuǎn)折區(qū)中點對應(yīng)的輸入電壓稱為( )電壓。(4)、當七段顯示譯碼器的輸出為高電平有效時,應(yīng)選用共( )極數(shù)碼管。(5)、觸發(fā)器異步輸入端為低電平有效時,如果異步輸入端RD=1,SD=0,則觸發(fā)器直接置成( )狀態(tài)。(6)、數(shù)字電路中,常用的脈沖波形產(chǎn)生電路是( )器。(7)、A/D和D/A轉(zhuǎn)換器的轉(zhuǎn)換精度指標,可采用( )和( )兩個參數(shù)描述。(8)幾個集電極開路與非門(OC門)輸出端直接相連,配加負載電阻后實現(xiàn)( )功能。二、(15%)1、 將邏輯函數(shù)化為最小項之和的形式Y(jié)=ABC+AC+BC2、用公式法化簡邏輯函數(shù) Y1=AC+AD+CD Y2= AD+AD+AB+AC+BD+ACEF+BEF+DEFG 3、用卡諾圖化簡邏輯函數(shù)Y1=ABC+ABD+ACD+CD+ABC+ACD Y2(ABC)=(m0,m1,m2,m4) 約束條件:m3+m5+m6+m7 =0三、(15%)1、試說明能否將與非門、或非門、異或門分別當作反相器來使用?如果可以,各個門電路的輸入端該如何連接?(利用兩個輸入一個輸出的邏輯符號圖分別表示出各門電路作為反相器使用時對應(yīng)輸入端的接法)2、 4位輸入的倒T型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器,VREF=8V,在Rf =R的條件下,輸入數(shù)字量d3d2d1d0=1010時,輸出電壓U0的數(shù)值是多少? 四、(20%)1、分析右圖電路,寫出函數(shù)S和C的表達式并化簡,通過真值表說明電路完成什么邏輯功能?2、舉重比賽中有A、B、C三名裁判,A為主裁,當兩名或兩名以上裁判(必須包括A在內(nèi))認為運動員上舉杠鈴合格,才能認為成功。(1) 要求列真值表用與非門電路設(shè)計該邏輯電路。(2) 用74LS138芯片(符號圖如右)配合適當?shù)拈T電路設(shè)計該邏輯電路五、(12%)1、 觸發(fā)器根據(jù)邏輯功能可分哪些類型?觸發(fā)器的邏輯功能和電路結(jié)構(gòu)形式之間關(guān)系如何?2、根據(jù)CP、J、K的波形畫出Q端波形,假設(shè)初態(tài)為零 3、分析圖(a)電路,并根據(jù)圖(b)給出的CP波形畫出Q端波形圖。假設(shè)初態(tài)為零。 六、(27%)1、分析時序電路,要求通過分析列出時鐘方程、驅(qū)動方程、狀態(tài)方程、狀態(tài)表并畫出狀態(tài)轉(zhuǎn)移圖,驗證是否具備自啟動特性。設(shè)Q2Q1Q0的初態(tài)為001。Q0 Q1 Q22、 據(jù)CT74LS290(異步二-五-十進制加法計數(shù)器)的功能,利用反饋歸零法將其555Q0 Q1 Q2 Q3CP0 CP1 S9(1)S9(2)R0(1)R0(2)74LS290轉(zhuǎn)換為8421碼九進制加法計數(shù)器。(在芯片符號圖上連線完成)3、 上圖555塊組成的是什么電路? 具備什么功能?4、 利用芯片74LS161(四位同步二進制計數(shù)器)和74LS151(八選一數(shù)據(jù)選擇器)設(shè)計一個序列信號發(fā)生器,要求在一系列脈沖CP的作用下,能夠周期性地輸出“00010111”的序列信號。(芯片符號如圖示)通過分析完成連線圖。-

注意事項

本文(數(shù)字電子技術(shù)題庫及答案.doc)為本站會員(good****022)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!