歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

計(jì)算機(jī)組成原理上機(jī)實(shí)驗(yàn)報(bào)告.doc

  • 資源ID:116584296       資源大?。?span id="ccjpnyt" class="font-tahoma">1.02MB        全文頁(yè)數(shù):14頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

計(jì)算機(jī)組成原理上機(jī)實(shí)驗(yàn)報(bào)告.doc

計(jì)算機(jī)組成原理實(shí)驗(yàn)課程實(shí) 驗(yàn) 報(bào) 告 實(shí)驗(yàn)題目 組成原理上機(jī)實(shí)驗(yàn) 班 級(jí) 1237-小 姓 名 學(xué) 號(hào) 時(shí) 間 2014年5月 成 績(jī) 實(shí)驗(yàn)一 基本運(yùn)算器實(shí)驗(yàn)1. 實(shí)驗(yàn)?zāi)康模?) 了解運(yùn)算器的組成原理(2) 掌握運(yùn)算器的工作原理2. 實(shí)驗(yàn)內(nèi)容輸入數(shù)據(jù),根據(jù)運(yùn)算器邏輯功能表1-1進(jìn)行邏輯、移位、算術(shù)運(yùn)算,將運(yùn)算結(jié)果填入表1-2。表 1-1 運(yùn)算器邏輯功能表運(yùn)算類型ABS3 S2 S1 S0CN結(jié)果邏輯運(yùn)算65A70 0 0 0XF=( 65 ) FC=( ) FZ=( )65A70 0 0 1XF=( A7 ) FC=( ) FZ=( )0 0 1 0XF=( ) FC=( ) FZ=( )0 0 1 1XF=( ) FC=( ) FZ=( )0 1 0 0XF=( ) FC=( ) FZ=( )移位運(yùn)算0 1 0 1XF=( ) FC=( ) FZ=( )0 1 1 00F=( ) FC=( ) FZ=( )1F=( ) FC=( ) FZ=( )0 1 1 10F=( ) FC=( ) FZ=( )1F=( ) FC=( ) FZ=( )算術(shù)運(yùn)算1 0 0 0XF=( ) FC=( ) FZ=( )1 0 0 1XF=( ) FC=( ) FZ=( ) 1 0 1 0(FC=0)XF=( ) FC=( ) FZ=( ) 1 0 1 0(FC=1)XF=( ) FC=( ) FZ=( )1 0 1 1XF=( ) FC=( ) FZ=( )1 1 0 0XF=( ) FC=( ) FZ=( )1 1 0 1XF=( ) FC=( ) FZ=( )表1-2 運(yùn)算結(jié)果表3. 實(shí)驗(yàn)原理本實(shí)驗(yàn)的原理如圖1-1所示。運(yùn)算器內(nèi)部含有三個(gè)獨(dú)立運(yùn)算部件,分別為算術(shù)、邏輯和移位運(yùn)算部件,要處理的數(shù)據(jù)存于暫存器 A 和暫存器 B,三個(gè)部件同時(shí)接受來(lái)自 A 和 B 的數(shù)據(jù)(有些處理器體系結(jié)構(gòu)把移位運(yùn)算器放于算術(shù)和邏輯運(yùn)算部件之前,如 ARM),各部件對(duì)操作數(shù)進(jìn)行何種運(yùn)算由控制信號(hào) S3S0和 CN 來(lái)決定,任何時(shí)候,多路選擇開(kāi)關(guān)只選擇三部件中一個(gè)部件的結(jié)果作為 ALU 的輸出。如果是影響進(jìn)位的運(yùn)算,還將置進(jìn)位標(biāo)志 FC,在運(yùn)算結(jié)果輸出前,置 ALU 零標(biāo)志。ALU 中所有模塊集成在一片 CPLD 中。圖 1-1 運(yùn)算器原理圖邏輯運(yùn)算部件由邏輯門構(gòu)成,較為簡(jiǎn)單,而后面又有專門的算術(shù)運(yùn)算部件設(shè)計(jì)實(shí)驗(yàn),在此對(duì)這兩個(gè)部件不再贅述。移位運(yùn)算采用的是桶形移位器,一般采用交叉開(kāi)關(guān)矩陣來(lái)實(shí)現(xiàn),交叉開(kāi)關(guān)的原理如圖1-2所示。圖1-2中顯示的是一個(gè) 4X4 的矩陣(系統(tǒng)中是一個(gè) 8X8 的矩陣)。每一個(gè)輸入都通過(guò)開(kāi)關(guān)與一個(gè)輸出相連,把沿對(duì)角線的開(kāi)關(guān)導(dǎo)通,就可實(shí)現(xiàn)移位功能,即:(1)對(duì)于邏輯左移或邏輯右移功能,將一條對(duì)角線的開(kāi)關(guān)導(dǎo)通,這將所有的輸入位與所使用的輸出分別相連,而沒(méi)有同任何輸入相連的則輸出連接 0。(2)對(duì)于循環(huán)右移功能,右移對(duì)角線同互補(bǔ)的左移對(duì)角線一起激活。例如,在 4 位矩陣中使用右 1和左 3對(duì)角線來(lái)實(shí)現(xiàn)右循環(huán) 1 位。(3)對(duì)于未連接的輸出位,移位時(shí)使用符號(hào)擴(kuò)展或是 0 填充,具體由相應(yīng)的指令控制。使用另外的邏輯進(jìn)行移位總量譯碼和符號(hào)判別。運(yùn)算器部件由一片 CPLD 實(shí)現(xiàn)。ALU 的輸入和輸出通過(guò)三態(tài)門 74LS245 連到 CPU 內(nèi)總線上,另外還有指示燈標(biāo)明進(jìn)位標(biāo)志 FC 和零標(biāo)志 FZ。請(qǐng)注意:實(shí)驗(yàn)箱上凡絲印標(biāo)注有馬蹄形標(biāo)記 ,表示這兩根排針之間是連通的。圖中除 T4 和 CLR,其余信號(hào)均來(lái)自于 ALU 單元的排線座,實(shí)驗(yàn)箱中所有單元的 T1、T2、T3、T4 都連接至控制總線單元的 T1、T2、T3、T4,CLR 都連接至 CON 單元的 CLR 按鈕。T4 由時(shí)序單元的 TS4 提供(時(shí)序單元的介紹見(jiàn)附錄二),其余控制信號(hào)均由 CON 單元的二進(jìn)制數(shù)據(jù)開(kāi)關(guān)模擬給出??刂菩盘?hào)中除 T4 為脈沖信號(hào)外,其余均為電平信號(hào),其中 ALU_B 為低有效,其余為高有效。暫存器 A 和暫存器 B 的數(shù)據(jù)能在 LED 燈上實(shí)時(shí)顯示,原理如圖1-3 所示(以 A0 為例,其線路連接圖它相同)。進(jìn)位標(biāo)志 FC、零標(biāo)志 FZ 和數(shù)據(jù)總線 D7D0 的顯示原理也是如此。圖1-3 A0顯示原理圖運(yùn)算器的邏輯功能表如表1-1 所示,其中 S3 S2 S1 S0 CN 為控制信號(hào),F(xiàn)C 為進(jìn)位標(biāo)志,F(xiàn)Z 為運(yùn)算器零標(biāo)志,表中功能欄內(nèi)的 FC、FZ 表示當(dāng)前運(yùn)算會(huì)影響到該標(biāo)志。4.實(shí)驗(yàn)結(jié)果運(yùn)算類型ABS3 S2 S1 S0CN結(jié)果邏輯運(yùn)算65A70 0 0 0XF=( 65 ) FC=( 0 ) FZ=( )65A70 0 0 1XF=( A7 ) FC=( 0 ) FZ=( )0 0 1 0XF=( 26 ) FC=( 0 ) FZ=( )0 0 1 1XF=( E7 ) FC=( 0 ) FZ=( )0 1 0 0XF=( 9A ) FC=( 0 ) FZ=( )移位運(yùn)算0 1 0 1XF=( CA ) FC=( 1 ) FZ=( )0 1 1 00F=( 32 ) FC=( 1 ) FZ=( )1F=( B2 ) FC=( 1 ) FZ=( )0 1 1 10F=( CA) FC=( 0 ) FZ=( )1F=(CA ) FC=( 0 ) FZ=( )算術(shù)運(yùn)算1 0 0 0XF=( 65 ) FC=( 1 ) FZ=( )1 0 0 1XF=( 0C ) FC=( 1 ) FZ=( ) 1 0 1 0(FC=0)XF=( 33 ) FC=( 1 ) FZ=( ) 1 0 1 0(FC=1)XF=( 0D ) FC=( 1 ) FZ=( )1 0 1 1XF=(BE ) FC=( 1 ) FZ=( )1 1 0 0XF=( 64 ) FC=( 0 ) FZ=( )1 1 0 1XF=( 66 ) FC=( 0 ) FZ=( )5.實(shí)驗(yàn)心得通過(guò)本次試驗(yàn),了解了運(yùn)算器的組成原理和工作原理,初步了解這門實(shí)驗(yàn)課的方法和步驟等,這只是一次很簡(jiǎn)單的實(shí)驗(yàn),為的就是為后面幾次相對(duì)比較復(fù)雜的實(shí)驗(yàn)打下堅(jiān)實(shí)的基礎(chǔ),以便于更好的學(xué)習(xí)。實(shí)驗(yàn)二 靜態(tài)隨機(jī)存儲(chǔ)器實(shí)驗(yàn)1. 試驗(yàn)?zāi)康恼莆侦o態(tài)隨機(jī)存儲(chǔ)器RAM工作特性及數(shù)據(jù)的讀寫(xiě)方法2.實(shí)驗(yàn)內(nèi)容1. 向存儲(chǔ)器中指定的地址單元輸入數(shù)據(jù),地址先輸入AR寄存器,再將數(shù)據(jù)送入總線后,存到指定的存儲(chǔ)單元,觀察數(shù)據(jù)在各部件上的顯示結(jié)果。2. 從存儲(chǔ)器中指定的地址單元讀出數(shù)據(jù), 地址先輸入AR寄存器, 讀出的數(shù)據(jù)送入總線, 觀察數(shù)據(jù)在各部件上的顯示結(jié)果。3.實(shí)驗(yàn)原理實(shí)驗(yàn)所用的靜態(tài)存儲(chǔ)器由一片 6116(2K8bit)構(gòu)成(位于 MEM 單元),如圖 2-1 所示。6116 有三個(gè)控制線:CS(片選線)、OE(讀線)、WE(寫(xiě)線),其功能如表 2-1 所示,當(dāng)片選有效(CS=0)時(shí),OE=0 時(shí)進(jìn)行讀操作,WE=0 時(shí)進(jìn)行寫(xiě)操作,本實(shí)驗(yàn)將 CS 常接地。由于存儲(chǔ)器(MEM)最終是要掛接到 CPU 上,所以其還需要一個(gè)讀寫(xiě)控制邏輯,使得 CPU能控制 MEM 的讀寫(xiě),實(shí)驗(yàn)中的讀寫(xiě)控制邏輯如圖 2-2 所示,由于 T3 的參與,可以保證 MEM的寫(xiě)脈寬與 T3 一致,T3 由時(shí)序單元的 TS3 給出(時(shí)序單元的介紹見(jiàn)附錄 2)。IOM 用來(lái)選擇是對(duì) I/O 還是對(duì) MEM 進(jìn)行讀寫(xiě)操作,RD=1 時(shí)為讀,WR=1 時(shí)為寫(xiě)。如表2-2所示。表 2-1 SRAM 6116 功能表 圖 2-2 讀寫(xiě)控制邏輯表 2-2 讀寫(xiě)邏輯控制表IOMWRRDINOUTMEM110有效101有效010寫(xiě)001讀實(shí)驗(yàn)原理圖如圖 2-3 所示,存儲(chǔ)器數(shù)據(jù)線接至數(shù)據(jù)總線,數(shù)據(jù)總線上接有 8 個(gè) LED 燈顯示 D7D0 的內(nèi)容。地址線接至地址總線,地址總線上接有 8 個(gè) LED 燈顯示 A7A0 的內(nèi)容,地址由地址鎖存器(74LS273,位于 PC&AR 單元)給出。數(shù)據(jù)開(kāi)關(guān)(位于 IN 單元)經(jīng)一個(gè)三態(tài)門(74LS245)連至數(shù)據(jù)總線,分時(shí)給出地址和數(shù)據(jù)。地址寄存器為 8 位,接入 6116 的地址A7A0,6116 的高三位地址 A10A8 接地,所以其實(shí)際容量為 256 字節(jié)。實(shí)驗(yàn)箱中所有單元的時(shí)序都連接至?xí)r序與操作臺(tái)單元,CLR 都連接至 CON 單元的 CLR 按鈕。實(shí)驗(yàn)時(shí) T3 由時(shí)序單元給出,其余信號(hào)由 CON 單元的二進(jìn)制開(kāi)關(guān)模擬給出,其中 IOM 應(yīng)為低(即 MEM 操作),RD、WR 高有效,MR 和 MW 低有效,LDAR 高有效。圖 2-3 存儲(chǔ)器實(shí)驗(yàn)原理圖4.實(shí)驗(yàn)心得 通過(guò)本次試驗(yàn),了解了靜態(tài)隨機(jī)存儲(chǔ)器RAM工作特性及數(shù)據(jù)的讀寫(xiě)方法,同時(shí)知道了組成原理的理論課也很重要,沒(méi)有理論課的基礎(chǔ),實(shí)驗(yàn)時(shí)就不知道怎么弄了,只有把理論和實(shí)踐結(jié)合起來(lái)才能學(xué)好這門課。實(shí)驗(yàn)三 系統(tǒng)總線與總線接口1. 實(shí)驗(yàn)?zāi)康模?) 理解總線的概念及其特性(2) 掌握控制總線的功能和應(yīng)用2. 實(shí)驗(yàn)內(nèi)容1、 輸入設(shè)備將一個(gè)數(shù)打入 R0 寄存器。2、 輸入設(shè)備將另一個(gè)數(shù)打入地址寄存器。3、 將 R0 寄存器中的數(shù)寫(xiě)入到當(dāng)前地址的存儲(chǔ)器中。4、將當(dāng)前地址的存儲(chǔ)器中的數(shù)用 LED 數(shù)碼管顯示。3. 實(shí)驗(yàn)原理實(shí)驗(yàn)接線圖由于存儲(chǔ)器和輸入、輸出設(shè)備最終是要掛接到外部總線上,所以需要外部總線提供數(shù)據(jù)信號(hào)、地址信號(hào)以及控制信號(hào)。在該實(shí)驗(yàn)平臺(tái)中,外部總線分為數(shù)據(jù)總線、地址總線、和控制總線,分別為外設(shè)提供上述信號(hào)。外部總線和 CPU 內(nèi)總線之間通過(guò)三態(tài)門連接,同時(shí)實(shí)現(xiàn)了內(nèi)外總線的分離和對(duì)于數(shù)據(jù)流向的控制。地址總線可以為外部設(shè)備提供地址信號(hào)和片選信號(hào)。表 3-2 讀寫(xiě)邏輯控制表IOMWRRDINOUTMEM110有效101有效010寫(xiě)001讀在理解讀寫(xiě)控制邏輯的基礎(chǔ)上我們?cè)O(shè)計(jì)一個(gè)總線傳輸?shù)膶?shí)驗(yàn)。實(shí)驗(yàn)所用總線傳輸實(shí)驗(yàn)框圖如圖 3-3 所示,它將幾種不同的設(shè)備掛至總線上,有存儲(chǔ)器、輸入設(shè)備、輸出設(shè)備、寄存器。這些設(shè)備都需要有三態(tài)輸出控制,按照傳輸要求恰當(dāng)有序的控制它們,就可實(shí)現(xiàn)總線信息傳輸。圖 3-3 總線傳輸實(shí)驗(yàn)框圖4. 實(shí)驗(yàn)心得通過(guò)本次試驗(yàn),我們對(duì)總線的概念和其特性及控制總線的功能和應(yīng)用得到更多的了解。學(xué)會(huì)了自己譯碼,自己譯出控制信號(hào)等,感覺(jué)這是一門比較有趣的課程,希望這能在以后的學(xué)習(xí)和工作中帶來(lái)一定的益處。實(shí)驗(yàn)四 微程序控制器實(shí)驗(yàn)1. 實(shí)驗(yàn)?zāi)康模?) 掌握微程序控制器的組成原理(2) 掌握微程序的編制、寫(xiě)入,觀察微程序的運(yùn)行過(guò)程2.實(shí)驗(yàn)內(nèi)容設(shè)計(jì)以下機(jī)器指令的微程序,如表4-2所示:表4-2 機(jī)器指令的微程序助記符機(jī)器指令碼說(shuō)明IN0010 0000IN R0ADD0000 0000R0 + R0R0OUT0011 0000R0OUTHLT0101 0000停機(jī)本實(shí)驗(yàn)安排了四條機(jī)器指令,分別為 ADD(0000 0000)、IN(0010 0000)、OUT(0011 0000)和 HLT(0101 0000),括號(hào)中為各指令的二進(jìn)制代碼3.實(shí)驗(yàn)原理微程序控制器的基本任務(wù)是完成當(dāng)前指令的翻譯和執(zhí)行,即將當(dāng)前指令的功能轉(zhuǎn)換成可以控制的硬件邏輯部件工作的微命令序列,完成數(shù)據(jù)傳送和各種處理操作。它的執(zhí)行方法就是將控制各部件動(dòng)作的微命令的集合進(jìn)行編碼,即將微命令的集合仿照機(jī)器指令一樣,用數(shù)字代碼的形式表示,這種表示稱為微指令。這樣就可以用一個(gè)微指令序列表示一條機(jī)器指令,這種微指令序列稱為微程序。微程序存儲(chǔ)在一種專用的存儲(chǔ)器中,稱為控制存儲(chǔ)器,微程序控制器原理框圖如圖 4-1 所示。圖4-1微程序控制器組成原理框圖微程序控制器的組成見(jiàn)圖4-2,其中控制存儲(chǔ)器采用 3 片 2816 的 E2PROM,具有掉電保護(hù)功能,微命令寄存器 18 位,用兩片 8D 觸發(fā)器(273)和一片 4D(175)觸發(fā)器組成。微地址寄存器 6 位,用三片正沿觸發(fā)的雙 D 觸發(fā)器(74)組成,它們帶有清“0”端和預(yù)置端。在不判別測(cè)試的情況下,T2 時(shí)刻打入微地址寄存器的內(nèi)容即為下一條微指令地址。當(dāng) T4 時(shí)刻進(jìn)行測(cè)試判別時(shí),轉(zhuǎn)移邏輯滿足條件后輸出的負(fù)脈沖通過(guò)強(qiáng)置端將某一觸發(fā)器置為“1”狀態(tài),完成地址修改。首先將 KK1 撥至停止檔、KK3 撥至編程檔、KK4 撥至控存檔、KK5 撥至置數(shù)檔,由 CON 單元的 SD05SD00 開(kāi)關(guān)給出需要編輯的控存單元首地址(000000),IN 單元開(kāi)關(guān)給出該控存單元數(shù)據(jù)的低 8 位(00010001),連續(xù)兩次按動(dòng)時(shí)序與操作臺(tái)單元的開(kāi)關(guān)ST(第一次按動(dòng)后 MC 單元低 8 位顯示該單元以前存儲(chǔ)的數(shù)據(jù),第二次按動(dòng)后顯示當(dāng)前改動(dòng)的數(shù)據(jù)),此時(shí) MC 單元的指示燈 MA5MA0 顯示當(dāng)前地址(000000),M7M0 顯示當(dāng)前數(shù)據(jù)(00010001)。然后將 KK5 撥至加 1檔,IN 單元開(kāi)關(guān)給出該控存單元數(shù)據(jù)的中 8 位(00100010),連續(xù)兩次按動(dòng)開(kāi)關(guān) ST,完成對(duì)該控存單元中 8 位數(shù)據(jù)的修改,此時(shí) MC 單元的指示燈 MA5MA0 顯示當(dāng)前地址(000000),M15M8 顯示當(dāng)前數(shù)據(jù)(00100010);再由 IN 單元開(kāi)關(guān)給出該控存單元數(shù)據(jù)的高 8 位(00110011),連續(xù)兩次按動(dòng)開(kāi)關(guān) ST,完成對(duì)該控存單元高 8 位數(shù)據(jù)的修改此時(shí) MC 單元的指示燈 MA5MA0 顯示當(dāng)前地址(000000),M23M16 顯示當(dāng)前數(shù)據(jù)(00110011)。此時(shí)被編輯的控存單元地址會(huì)自動(dòng)加 1(01H),由 IN 單元開(kāi)關(guān)依次給出該控存單元數(shù)據(jù)的低 8 位、中 8 位和高 8 位配合每次開(kāi)關(guān) ST 的兩次按動(dòng),即可完成對(duì)后續(xù)單元的編輯。編輯完成后需進(jìn)行校驗(yàn),以確保編輯的正確。以校驗(yàn) 00H 單元為例,對(duì)于控制存儲(chǔ)器進(jìn)行校驗(yàn)的具體操作步驟如下:首先將 KK1 撥至停止檔、KK3 撥至校驗(yàn)檔、KK4 撥至控存檔、KK5 撥至置數(shù)檔。由 CON 單元的 SD05SD00 開(kāi)關(guān)給出需要校驗(yàn)的控存單元地址(000000),連續(xù)兩次按動(dòng)開(kāi)關(guān) ST,MC 單元指示燈 M7M0 顯示該單元低 8 位數(shù)據(jù)(00010001);KK5 撥至加 1檔,再連續(xù)兩次按動(dòng)開(kāi)關(guān) ST,MC 單元指示燈 M15M8 顯示該單元中 8 位數(shù)據(jù)(00100010);再連續(xù)兩次按動(dòng)開(kāi)關(guān) ST,MC 單元指示燈 M23M16 顯示該單元高 8 位數(shù)據(jù)(00110011)。位于實(shí)驗(yàn)平臺(tái) MC 單元左上角一列三個(gè)指示燈 MC2、MC1、MC0 用來(lái)指示當(dāng)前操作的微程序字段,分別對(duì)應(yīng) M23M16、M15M8、M7M0。實(shí)驗(yàn)平臺(tái)提供了比較靈活的手動(dòng)操作方式,比如在上述操作中在對(duì)地址置數(shù)后將開(kāi)關(guān) KK4 撥至減 1檔,則每次隨著開(kāi)關(guān) ST 的兩次撥動(dòng)操作,字節(jié)數(shù)依次從高 8 位到低 8 位遞減,減至低 8 位后,再按動(dòng)兩次開(kāi)關(guān)ST,微地址會(huì)自動(dòng)減一,繼續(xù)對(duì)下一個(gè)單元的操作。表 4-1 微指令格式其中 MA5MA0 為 6 位的后續(xù)微地址,A、B、C 為三個(gè)譯碼字段,分別由三個(gè)控制位譯碼出多位。C 字段中的 P為測(cè)試字位。其功能是根據(jù)機(jī)器指令及相應(yīng)微代碼進(jìn)行譯碼,使微程序轉(zhuǎn)入相應(yīng)的微地址入口,從而實(shí)現(xiàn)完成對(duì)指令的識(shí)別,并實(shí)現(xiàn)微程序的分支,本系統(tǒng)上的指令譯碼原理如圖 4-3 所示,圖中 I7I2 為指令寄存器的第 72 位輸出,SE5SE0 為微控器單元微地址鎖存器的強(qiáng)置端輸出,指令譯碼邏輯在 IR 單元的 INS_DEC(GAL20V8)中實(shí)現(xiàn)。從圖 4-2 中也可以看出,微控器產(chǎn)生的控制信號(hào)比表 4-1 中的要多,這是因?yàn)閷?shí)驗(yàn)的不同,所需的控制信號(hào)也不一樣,本實(shí)驗(yàn)只用了部分的控制信號(hào)。本實(shí)驗(yàn)除了用到指令寄存器(IR)和通用寄存器 R0 外,還要用到 IN 和 OUT 單元,從微控器出來(lái)的信號(hào)中只有 IOM、WR 和 RD 三個(gè)信號(hào),所以對(duì)這兩個(gè)單元的讀寫(xiě)信號(hào)還應(yīng)先經(jīng)過(guò)譯碼,其譯碼原理如圖 4-4 所示。IR 單元的原理圖如圖 4-5 所示,R0 單元原理如圖 4-7 所示,IN 單元的原理圖見(jiàn)圖 4-3 所示,OUT 單元的原理圖見(jiàn)圖 4-6 所示。圖 4-3 指令譯碼原理圖 圖 4-4 讀寫(xiě)控制邏輯 圖4-5 IR單元原理圖 實(shí)驗(yàn)中機(jī)器指令由 CON 單元的二進(jìn)制開(kāi)關(guān)手動(dòng)給出,其余單元的控制信號(hào)均由微程序控制器自動(dòng)產(chǎn)生,為此可以設(shè)計(jì)出相應(yīng)的數(shù)據(jù)通路圖,見(jiàn)圖 4-8 所示。圖 4-8 數(shù)據(jù)通路圖4.實(shí)驗(yàn)心得通過(guò)本次試驗(yàn),我學(xué)習(xí)到了微程序控制器的組成原理及其編制、寫(xiě)入。每一條控制代碼,都是由幾個(gè)控制代碼組合而成,這用到了前面幾次實(shí)驗(yàn)的知識(shí),也讓我掌握了自己編寫(xiě)控制代碼的能力,感覺(jué)這次實(shí)驗(yàn)中收獲良多,相信對(duì)最后一次實(shí)驗(yàn)會(huì)有很大的幫助。實(shí)驗(yàn)五 簡(jiǎn)單模型機(jī)設(shè)計(jì)實(shí)驗(yàn)1. 實(shí)驗(yàn)?zāi)康模?) 掌握一個(gè)簡(jiǎn)單CPU的組成原理(2) 在掌握部件單元電路的基礎(chǔ)上,進(jìn)一步將其構(gòu)造成一臺(tái)基本模型計(jì)算機(jī)(3) 為其定義五條機(jī)器指令,編寫(xiě)相應(yīng)的微程序,并上機(jī)調(diào)試掌握整機(jī)概念2.實(shí)驗(yàn)內(nèi)容用所設(shè)計(jì)的5條機(jī)器指令編寫(xiě)一匯編語(yǔ)言程序,運(yùn)行該程序并觀察程序運(yùn)行的結(jié)果。要求該程序必須包含IN、ADD、OUT、JMP、HLT指令并且程序的長(zhǎng)度在6條指令以上。設(shè)計(jì)一段機(jī)器程序,要求從 IN 單元讀入一個(gè)數(shù)據(jù),存于 R0,將 R0 和自身相加,結(jié)果存于R0,再將 R0 的值送 OUT 單元顯示。3.實(shí)驗(yàn)原理簡(jiǎn)單模型機(jī)微程序流程圖本實(shí)驗(yàn)要實(shí)現(xiàn)一個(gè)簡(jiǎn)單的 CPU,并且在此 CPU 的基礎(chǔ)上,繼續(xù)構(gòu)建一個(gè)簡(jiǎn)單的模型計(jì)算機(jī)。CPU 由運(yùn)算器(ALU)、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR)、程序計(jì)數(shù)器(PC)和地址寄存器(AR)組成,如圖 5-1-1 所示。這個(gè) CPU 在寫(xiě)入相應(yīng)的微指令后,就具備了執(zhí)行機(jī)器指令的功能,但是機(jī)器指令一般存放在主存當(dāng)中,CPU 必須和主存掛接后,才有實(shí)際的意義,所以還需要在該 CPU 的基礎(chǔ)上增加一個(gè)主存和基本的輸入輸出部件,以構(gòu)成一個(gè)簡(jiǎn)單的模型計(jì)算機(jī)。除了程序計(jì)數(shù)器(PC),其余部件在前面的實(shí)驗(yàn)中都已用到,在此不再討論。系統(tǒng)的程序計(jì)數(shù)器(PC)和地址寄存器(AR)集成在一片 CPLD 芯片中 。CLR 連接至 CON 單元的總清端CLR,按下 CLR 按鈕,將使 PC 清零,LDPC 和 T3 相與后作為計(jì)數(shù)器的計(jì)數(shù)時(shí)鐘,當(dāng) LOAD為低時(shí),計(jì)數(shù)時(shí)鐘到來(lái)后將 CPU 內(nèi)總線上的數(shù)據(jù)打入 PC。圖 5-2 程序計(jì)數(shù)器(PC)原理圖本模型機(jī)和前面微程序控制器實(shí)驗(yàn)相比,新增加一條跳轉(zhuǎn)指令 JMP,共有五條指令:IN(輸入)、ADD(二進(jìn)制加法)、OUT(輸出)、JMP(無(wú)條件轉(zhuǎn)移),HLT(停機(jī)),其指令格式如下(高位為操作碼):其中 JMP 為雙字節(jié)指令,其余均為單字節(jié)指令,*為 addr 對(duì)應(yīng)的二進(jìn)制地址碼。微程序控制器實(shí)驗(yàn)的指令是通過(guò)手動(dòng)給出的,現(xiàn)在要求 CPU 自動(dòng)從存儲(chǔ)器讀取指令并執(zhí)行。根據(jù)以上要求,設(shè)計(jì)數(shù)據(jù)通路圖,如圖 5-3 所示。圖 5-3 數(shù)據(jù)通路圖本實(shí)驗(yàn)在前一個(gè)實(shí)驗(yàn)的基礎(chǔ)上增加了三個(gè)部件,一是 PC(程序計(jì)數(shù)器),另一個(gè)是 AR(地址寄存器),還有就是 MEM(主存)。因而在微指令中應(yīng)增加相應(yīng)的控制位,其微指令格式如表5-1 所示。4.實(shí)驗(yàn)結(jié)果5.實(shí)驗(yàn)心得通過(guò)本次實(shí)驗(yàn)我了解到了微程序和微指令與微程序之間的關(guān)系,這幾次實(shí)驗(yàn)中我獲益良多,平時(shí)我們能見(jiàn)到的都是計(jì)算機(jī)的外部結(jié)構(gòu),在計(jì)算機(jī)組成原理的學(xué)習(xí)中,逐步對(duì)計(jì)算機(jī)的內(nèi)部結(jié)構(gòu)有了一些了解,但始終都停留在理論階段。而在簡(jiǎn)單模型機(jī)設(shè)計(jì)中,讓讓我對(duì)運(yùn)算器的內(nèi)部結(jié)構(gòu)有了更深的了解,并且對(duì)計(jì)算機(jī)組成原理也有了更深層次的理解,同時(shí)這次課程設(shè)計(jì)還鍛煉了我的實(shí)驗(yàn)動(dòng)手能力,也培養(yǎng)了我的認(rèn)真負(fù)責(zé)的科學(xué)態(tài)度。

注意事項(xiàng)

本文(計(jì)算機(jī)組成原理上機(jī)實(shí)驗(yàn)報(bào)告.doc)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!