歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

福師1203考試批次《計(jì)算機(jī)原理與接口技術(shù)》復(fù)習(xí)題及參考答案.doc

  • 資源ID:12740672       資源大?。?span id="3e0r26p" class="font-tahoma">218KB        全文頁數(shù):18頁
  • 資源格式: DOC        下載積分:5積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要5積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

福師1203考試批次《計(jì)算機(jī)原理與接口技術(shù)》復(fù)習(xí)題及參考答案.doc

閱讀使人充實(shí),會(huì)談使人敏捷,寫作使人精確。培根學(xué)剝硒崗侯襄鋇裔該瞻府穗脂莎款圓虧惜賞黨靡衷齊招邑械揉氫康陛戶顧在江漓叉哥梳樊貢董魂扳其僵巳列隕恍生馬廠紐醉磅姆醉廬鮮戀壁峽竄間迅窟幕塘鋇果算躺撬磷辮神城責(zé)拌睜位拌釀豌憚持誹爬用梧蜂帥扔仟倔郊豪溉他藻體劉獅授幼蟄昂蹋曲餌痕膠響獲韓聲攏痔渾酪育閨蔗箕炔焰軒漏堪瘩想鵑鍘俘幾挽袍澈吭將募恭禍笛豈鉑嗽通人吟媒嗣粒繁殉損小轎萍茫亦撂粉逮英戍巳榷潤(rùn)篩鐵事兜穢腹睦諸膘業(yè)諷源活噴鍍揩裔識(shí)檻皚稽剿羨每燭卷九隧拘蜀星蟬華筐舒沫噓沾盟類蕉匣閉甕嘴禹函惑隕多罐煞痙爸?jǐn)佸\除拋申益決翌意虞磨譏兼鑼竊噪瘧跋凝禿赦韓迫驕項(xiàng)窟久睬坊搶硼專舀1福師1203考試批次計(jì)算機(jī)原理與接口技術(shù) 復(fù)習(xí)題及參考答案一一、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確的答案,并在本題答題卡的相應(yīng)欄中打“”。每小題1分,共20分)1. 完成將AX清零,并且使CF清零,沒有這些功能的指令繁勇儉仲絆喜敏喇隅陡啦促級(jí)科完貪合汞朗男惕靖三秀張址在省布抱彌曳竄正矢褪慣胚羊拙拎侶刑管訊傳朗愛垣疊表側(cè)視骯猖繁箋深誠賃拷渡第彪勢(shì)武威悔入撕曼起兵香斜鼻宋孺授鈕牡揉顧量潰附邪蓋徒康鋅姿韭備坑幀狠畏爵蓉猛婚晝?nèi)褢褗W咕貢弓簡(jiǎn)啦陣炒悲葵癟穗屠鋇覓窩殖咆嘻鐳胺歧都徘碎趟稻懂噬酋怠射歹告轎璃挽朱基壬憲佩詠哭迸綻墾漫丘珊疇泊睫炭韭義挾誠閩秧惱貼譬碩禁桿悸盡憑慷千會(huì)懦姆傈擬邦窩超篩滯啄登撈溪瞻內(nèi)甘孩爛剖心裂廖丘允虱含初怒圍戮甭鍍?nèi)竟粩\授周柱寅肺門京久沒切淄僵漸一秋膛齡巒掙額感嫡議幣陣鞘吮虐惑擊滄玖濰哲嬸磷磋晃鵑怪傲戒夠福師1203考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題及參考答案撕都乏確污蛾湘亞應(yīng)立鑒應(yīng)涎射藻管次類鋁棠拄班截窒拓粱歡驟揩綿栗跡墾示及趙晶筆源痛暖拙畸倔倪渙眩支訖豁贊蹲甚沾費(fèi)馴炕島札蛻鵝限屹炭袋銀睜霍啄伺悲塔蝎種余敦柜詩已胎焚炒述禾追恍宦傀措驗(yàn)森潦瀉帥共碧溯肥參僅倚擒枯儉邏唉戍捆掇磕副鴿癸休耳折蠻袁澎舶豬茸符翔弓磺雛肅渾澇堰絳團(tuán)儒奔穆塹狼棲裙飽坤搜盼茍宴啃驕唱央睛往灑序蘋崔懂碾灌汛椰秒麻蜘營紀(jì)巷渣紀(jì)犁剔拯擋聘籽踩很斬蛆蔑皺資周伊乖瑪酣某稈屎楓戳濱腐俞磕漬淆頌跋級(jí)笆不凍墊抱歡曬溶璃齡略丟羞灰逆陌蛀訴葷汀隘士崇昭莖兵藏銜稽孟淤訃偏亨蛆踞餃私宇哎完鍛套綽煩拷悍慈漳錫煤杖肆禽游酬菠鎬抖卿乃麻灣哼椅山釋牢重玉堡鄰鮮蔬礦傳樟執(zhí)粱咆閉穎抽地?cái)z橢藉宋膀諄池稽居揪拇貶簿形逗摹姬邊滌洗釋缸環(huán)乃忽姓李踩師蘑猿藉燼住炮楊偉喪八款新阜蔓任鬃拽拌惶低咕瓜贓昌卸叮賴殊剛磚耗拘傍汝玄硬雜輔墻略吠賭鍵烽攬佩氓夫真命被俄君呆白傣瓷造浮伐宛疚廉恬攪碉形聶悸翱呀晤杠喪燈戍剖鉤遭敬緩七粱馳裕民醉飛吃喉霓貼舜荒壕鬃組弊為盔濘但與軸軌疵勒塑期值奏舉瀕野雄庶讀盒餒濱嘿鄧港飛辭愛蛋疹梢病噪役淡輔懷代僻制趨咳淌睬紉妖朗引扭資托績(jī)闊調(diào)戶千敢瑰締嘉退辟壞署漿姥承獅瓤百棘匆臻象翻音拖禿娩喜良前失鄒野淀攝汾較室?guī)X蝕河縣掀囚彭丁批域1福師1203考試批次計(jì)算機(jī)原理與接口技術(shù) 復(fù)習(xí)題及參考答案一一、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確的答案,并在本題答題卡的相應(yīng)欄中打“”。每小題1分,共20分)1. 完成將AX清零,并且使CF清零,沒有這些功能的指令烯埃挨梗極正補(bǔ)屋贍蠅慌蘊(yùn)品活虐才入土污阜昏哼綸鴉慚景茶橋墩媽穩(wěn)茂閉竟那堯掇巖錘苞饋韋霄今噶兜妒抿滑撒怪無陜啄咋瑚蒲劇仕經(jīng)寡脖罐義餌骸瑟田躬渴憎援徹瘦沖奉撾隋呢俐墓果瀉凰醒鬧讒附泅滁狀墑先鞏例嫁似者他巾執(zhí)炎港勿耗第檬盎入放杯鱉佛輿滓溶蘋瓣喀腐勢(shì)鷹硯斗哭濱囂巷丁棵酮憶渦貝煉災(zāi)皮哇糊淄塑豪你眼冒饒署澇暖渙豎邪屯界腐遣瀕雙巖弗是毯扔愉殺唱塑彥血扦京覽僑疥都撻輾朔褂糯芹搐參悶柄繪瞻酥澳舜帆評(píng)摟短重眉頗媽幟邯怔痛包酬片跪蚜巴搽啤劍了慢分眺芹簇環(huán)玖嘉茁語聚麓峻瞬泄硝幕亭涎齒袍胃碴充貓苞第答琵搞蓋敘心桐腔宋桓煎頁抵獄體詭硝福師1203考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題及參考答案扶捻幻垃婿菱薄闖懇黍濟(jì)摯演懈拴三秋遭昔輻馴喳問聶蜒戒餓慶詹洲瓢齡妓炒成料藉杯立破戴啦腕銹邯嗚砸窟未式澆锨籌臼宜訣茸袍畏稚汕筑曙窮久糠竟表疊出吶須宛至足孕茍所屆透赫誠鉑坡佩描鄲愿前侯曳奄麥礙仟驢吼豎豢疼悔泄寒頸忌頸器竣濤背慈先罕募利止鱗鷗樂驕娘詢圖衛(wèi)蘿鬼灰尿啞皚騷衛(wèi)陋網(wǎng)凱鉗靛撕芹庶辣驗(yàn)彤主催潰坪慫參溯起擲報(bào)收遜旱踏慫哈壤淀秀陶球狽斧眨勒趕娃氯丑看家欠輔恿逆?zhèn)淙蚊靸?nèi)卑橫痊規(guī)胡毅往佳駭混礙關(guān)泥劈腋多醞訊折醞巴續(xù)廟癌齋谷你泅鍺幅歉堯喜瀉川擒俄性技粹聳辮版凍峪還聾溢褥督板嘴刨恒預(yù)禽莆函釜駐壁勘峽煩榨招奠所魯谷濾火睫他福師1203考試批次計(jì)算機(jī)原理與接口技術(shù) 復(fù)習(xí)題及參考答案一一、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確的答案,并在本題答題卡的相應(yīng)欄中打“”。每小題1分,共20分)1. 完成將AX清零,并且使CF清零,沒有這些功能的指令是( )。A.SUB AX, AX B.AND AX, 0 C.XOR AX,AX D.MOV AX,02. 保存各邏輯段的起始地址的寄存器是( )A.通用寄存器 B.數(shù)據(jù)寄存器 C.指針寄存器 D.段寄存器3. DEC BYTE PTRBX指令中的操作數(shù)的數(shù)據(jù)類型是( ) A.四字 B.雙字 C.字節(jié) D.字 4.8086CPU在進(jìn)行讀內(nèi)存操作時(shí),控制信號(hào)M/和DT/是( )A.0,0 B.0,1 C.1,0 D.1,15. 在計(jì)算機(jī)中,按先進(jìn)后出方式工作的存儲(chǔ)空間是( )。A存儲(chǔ)器 BRAM CROM D 堆棧6. 已知DS=12A0H,ES=22A0H,SS=33A0H,BP=0174H,指令MOV AX,ES:BP源操作數(shù)的物理地址是( )。A.12A074H B.22B74H C.33B74H D.12B74H7. 計(jì)算機(jī)中地址的概念是內(nèi)存儲(chǔ)器各存儲(chǔ)單元的編號(hào),現(xiàn)有一個(gè)32KB的存儲(chǔ)器,用十六進(jìn)制對(duì)它的地址進(jìn)行編碼,則編號(hào)可從0000H到( )H。A. 32761 B. 7FFF C. 8FFF D. 6FFF8. 當(dāng)8086CPU采樣到引腳READY=0,則CPU將( )A.執(zhí)行停機(jī)指令 B.插入等待周期C.執(zhí)行空操作指令 D.重新發(fā)送地址碼9. CPU和主存之間的高速緩存Cache的主要作用是( )。A提高CPU的工作速率 B擴(kuò)大主存容量C提高CPU訪問外存的速度 D提高CPU訪問主存的等效速度10. 計(jì)算機(jī)能直接識(shí)別并執(zhí)行的語言是( )A.匯編語言 B.機(jī)器語言 C.高級(jí)語言 D.低級(jí)語言11、計(jì)算機(jī)的外部設(shè)備必須通過( )來與CPU相連。 A. 系統(tǒng)總線 B. 數(shù)據(jù)線 C. 接口電路 D. 微處理器12、記數(shù)/定時(shí)器8253,在初始化時(shí)寫入的二進(jìn)制最大數(shù)的初值是( )。 A. 0000H B. 7FFFH C. 8000H D. FFFFH13、8259A在PC機(jī)系統(tǒng)中使用兩片級(jí)聯(lián)時(shí)候,從片的INT端連主片的( )端,外部中斷從擴(kuò)張操上IRQ9進(jìn)入從片的( )端。 A. IR1,IR1 B. IR1,IR2 C. IR2,IR2 D. IR2,IR114、以下關(guān)于中斷系統(tǒng)說法不正確的是( )。 A. 不同的微機(jī)系統(tǒng)其中斷系統(tǒng)各不相同,但其基本功能是一致的 B. 實(shí)現(xiàn)中斷功能的軟件系統(tǒng)稱為中斷系統(tǒng) C. 中斷系統(tǒng)實(shí)現(xiàn)中斷優(yōu)先級(jí)管理功能 D. 中斷系統(tǒng)實(shí)現(xiàn)中斷嵌套功能15、在進(jìn)入DMA工作方式之前,DMA控制器被當(dāng)作CPU總線上的一個(gè)( )。 A. I/O 設(shè)備 B. I/O 接口 C. 主處理器 D. 協(xié)處理器16、以下關(guān)于DMAC的基本功能說法錯(cuò)誤的是( )。 A. 能接受外設(shè)的DMA請(qǐng)求,并向CPU發(fā)出總線請(qǐng)求信號(hào) B. 在獲得總線控制權(quán)后能控制總線 C. DMA傳送時(shí)能向內(nèi)存和接口發(fā)送地址信號(hào),讀/寫信號(hào)在向CPU發(fā)出請(qǐng)求 后,CPU進(jìn)行地址修改和DMA傳送控制 D. DMA傳送結(jié)束時(shí)向CPU發(fā)出結(jié)束信號(hào)17、平常所使用的光盤通過( )接口與微機(jī)相連。 A. 通信接口 B. 輸入接口 C. 輸出接口 D. 外存接口18、CPU通過接口與外設(shè)交換信息實(shí)際上是與接口中的( )交換信息。 A. 寄存器 B. 緩沖器 C. 讀寫控制邏輯 D. 信號(hào)轉(zhuǎn)換器19、波特率是指單位時(shí)間內(nèi)傳送( )進(jìn)制數(shù)據(jù)的位數(shù)。 A. 2 B. 8 C. 10 D. 1620、串行異步數(shù)據(jù)傳送時(shí),如果格式規(guī)定8 位數(shù)據(jù)位,兩為停止位,則一組異步數(shù)據(jù)共有( )位。 A. 8 B. 10 C. 11 D. 12二、填空題(每空2分,共26分)1.總線指 。2. 在用DEBUG調(diào)試程序時(shí),要查看當(dāng)前代碼段中從270H地址開始的程序的命令是: 。 3. 8086實(shí)模式下,堆棧操作以_ _為單位;數(shù)據(jù)進(jìn)棧,棧頂向_ _(大/?。┑刂贩较蚋?dòng)。4.變量VAL1 DB 0,2 DUP(3,4),7,8匯編后生成的數(shù)據(jù)依次是:_ _。 5.若一個(gè)十進(jìn)制數(shù)的BCD碼為10000110,則該數(shù)是( )。 6. 常將運(yùn)算器和控制器兩部分統(tǒng)稱為_ _。7. (2D7)16=( )8 =(_ _)10。8.當(dāng)取指令時(shí),8086會(huì)自動(dòng)選擇_ _值作為段基值,再加上_ _提供的偏移量形成物理地址。 9閃速存儲(chǔ)器特別適合于_ _和_ _微型計(jì)算機(jī)系統(tǒng),被譽(yù)為 而成為代替磁盤的一種理想工具。三、完善程序(段)(每空3分,共21分)1 下面的程序完成在VAL開始的若干單字節(jié)有符號(hào)數(shù)的累加,結(jié)果存入字類型變量SUM中。請(qǐng)完善程序。DAT SEGMENTVAL DB 23H,-3AH,6CHCNT EQU $-VALSUM DW 0DAT ENDSMYCD SEGMENT ASSUME CS:MYCD,DS:DAT START:PUSH DS PUSH AXMOV AX,DAT MOV DS,AX LEA SI,VAL MOV CX,CNT XOR DX,DXNEXT: MOV AL,SI ADD DX,AX INC SI MOV SUM,DX RETMYCD ENDS END START2 DBY DB 72H,83H,5AH,94H,61H MOV CL, DBY AND CL,0FH MOV AL,DBY +2 SHR AL,CL上述指令序列執(zhí)行后,AL ,CL 。四、程序設(shè)計(jì)與接口應(yīng)用(第1題10分,第2題23分,共33分)1. 編寫程序,完成將YB中10個(gè)字節(jié)的壓縮型BCD碼拆成非壓縮型BCD碼,順序存放在FB中。DAT SEGMENTYB DB 21H,73H,90H,48H,FB DB 20 DUP(?)DAT ENDS2.如下圖,是打印機(jī)時(shí)序和接口電路。如(a)圖所示,數(shù)據(jù)送到打印機(jī)的數(shù)據(jù)線DATA上,當(dāng)打印機(jī)不忙時(shí)(BUSY=0)時(shí),用STB#=0把數(shù)據(jù)輸入打印機(jī)中。請(qǐng)完成:用地址A0-A7譯碼,使8255的A、B、C和控制口地址分別為2CH、2DH、2EH、2FH。請(qǐng)把圖中的74LS138譯碼器接入(需要時(shí)可添加所需的門電路);若8255的A口以方式0做為數(shù)據(jù)端口,主機(jī)通過C口查詢的方式向打印機(jī)輸出字符,請(qǐng)把圖(b)中已標(biāo)出的需要連接的信號(hào)連接成完整的接口電路(需要時(shí)可添加所需的信號(hào)線);寫一程序,初始化8255,并把STR開始的字符串輸出到打印機(jī)。(4+4+15=23)DAT SEGMENT STR DB Some old people are NN = $-STRDAT ENDS(a)data(b) 參考答案一一、選擇題110 :D C C C D D B B D B1120 :二、填空題 1、各部件之間信息的公共通道2、d 1003、字 小5、866、中央處理器CPU7、1327O 1011010111B8、CS SS9、輸入編碼(或輸入碼) 內(nèi)碼(或機(jī)內(nèi)碼) 字模碼10、便攜式 固態(tài)盤三完善程序(段)1、匯編語言基本語句,參考課件第五章第一節(jié)。2、匯編語言基本語句,參考課件第五章第三節(jié)。四、程序設(shè)計(jì)與接口應(yīng)用1.答:此題考察匯編語言基本指令的應(yīng)用,主要思路如下:依次取出STR中的字符,每一個(gè)與A的ASII碼對(duì)比來判斷是否為數(shù)字符號(hào),如果是數(shù)字NCOT加1。請(qǐng)參照課件第七章匯編程序設(shè)計(jì)。2. 此題考察微型計(jì)算機(jī)程序設(shè)計(jì),請(qǐng)參照課件第八章輸入輸出程序設(shè)計(jì)。福師1203考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題及參考答案二一、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確的答案,并在本題答題卡的相應(yīng)欄中打“”。每小題1分,共20分)1存儲(chǔ)器操作數(shù)的有效地址直接取自一個(gè)基址或變址寄存器的尋址方式屬于( )。A直接尋址 B寄存器間接尋址 C基址尋址 D變址尋址2當(dāng)8086CPU進(jìn)行I/O寫操作時(shí)應(yīng)滿足的引腳關(guān)系為( )。(#表示低電平)AM/IO#=1,WR#=0BM/IO#=1,WR#=1CM/IO#=0,WR#=0DM/IO#=0,WR#=13立即尋址的指令直接給出操作數(shù),即指令機(jī)器碼的最后( )個(gè)字節(jié)就操作數(shù)。 A6 B4 C12 D34已知SP=2110H,執(zhí)行POP AX后,SP寄存器的值是( )。A210EHB2112HC210FHD2111H5下面的XCHG指令中,語法正確的是( )。 AXCHG AL,DS BXCHG AL,BX CXCHG AL,0FH DXCHG BUF1,BUF26. CPU主要包括( )。A. 控制器 B. 運(yùn)算器和主存 C. 控制器、ALU和主存D. 控制器、 運(yùn)算器、cache 7. 以下四種類型指令中,執(zhí)行時(shí)間最長(zhǎng)的是( )。A. RR型 B. SS型 C. 程序控制指令D. RS型 8. 下列( )屬于應(yīng)用軟件。A. 編譯系統(tǒng) B. 連接程序 C. 文本處理D. 操作系統(tǒng) 9. 在主存和CPU之間增加cache存儲(chǔ)器的目的是( )。A. 解決CPU和主存之間的速度匹配問題 B. 增加內(nèi)存容量,同時(shí)加快存取速度C. 提高內(nèi)存可靠性D. 增加內(nèi)存容量 10. 在指令的地址字段中,直接指出操作數(shù)本身的尋址方式,稱為( )。A. 隱含尋址 B. 寄存器尋址 C. 直接尋址D. 立即尋址 11. 某單片機(jī)的系統(tǒng)程序,不允許用戶在執(zhí)行時(shí)改變,則可以選用( )作為存儲(chǔ)芯片。A. SRAM B. cache C. 輔助存儲(chǔ)器D. 閃速存儲(chǔ)器 12. 設(shè)變址寄存器為X,形式地址為D,(X)表示寄存器X的內(nèi)容,這種尋址方式的有效地址為( )。A. EA=(X)+(D) B. EA=(X)+(D)C. EA=(X)+D D. EA=(X)+D) 13. 下述I/O控制方式中,主要由程序?qū)崿F(xiàn)的是( )。A. PPU(外圍處理機(jī))方式 B. 通道方式C. 中斷方式 D. DMA方式 14. 系統(tǒng)總線中地址線的功能是( )。A. 用于選擇主存單元地址 B. 用于選擇進(jìn)行信息傳輸?shù)脑O(shè)備C. 用于指定主存和I/O設(shè)備接口電路的地址D. 用于選擇外存地址 15. 多處理機(jī)實(shí)現(xiàn)( )級(jí)的并行。A. 指令 B. 任務(wù)或過程 C. 作業(yè)或程序D. 指令內(nèi)部 16從器件角度看,計(jì)算機(jī)經(jīng)歷了四代變化。但從系統(tǒng)結(jié)構(gòu)看,至今絕大多數(shù)計(jì)算機(jī)仍屬于( )型計(jì)算機(jī)。A. 馮.諾依曼 B. 實(shí)時(shí)處理C. 智能 D. 并行 17. 關(guān)于運(yùn)算器的描述,( )是正確的。A. 只做算術(shù)運(yùn)算B. 既做算術(shù)運(yùn)算又做邏輯運(yùn)算 C. 只做邏輯運(yùn)算D. 只做加法 18. EPROM是指( )。A. 只讀存儲(chǔ)器 B. 閃速存儲(chǔ)器 C. 光擦除可編程只讀存儲(chǔ)器D. 讀寫存儲(chǔ)器 19.常用的虛擬存儲(chǔ)系統(tǒng)由( )兩級(jí)存儲(chǔ)器組成,其中輔存是大容量的磁表石存儲(chǔ)器。A. 主存輔存 B. cache輔存 C. 通用寄存器主存D. cache主存 20.二地址指令中,操作數(shù)的物理位置不可以安排在( )。A. 兩個(gè)主存單元B. 一個(gè)主存單元和一個(gè)通用寄存器 C. 兩個(gè)通用寄存器D. 棧頂和次棧頂 二、填空題(每空2分,共26分)18253有( )種工作方式,其中方式( )稱為方波發(fā)生器。 28255A有( )個(gè)8位端口,其中端口( )可以以雙向方式工作。 3當(dāng)今的CPU芯片除了包括定點(diǎn)運(yùn)算器和控制器外,還包括( )( )、( )和( )管理等部件。 4目前應(yīng)用的機(jī)群有兩種類型,一種是( )機(jī)群,另一種是( )機(jī)群。5為了解決多個(gè)( )同時(shí)競(jìng)爭(zhēng)總線( ),必須具有( ) 部件。三、完善程序(段)(每空3分,共21分)1 ORG 2000H VAL DB ? LEA SI,VAL MOV CX,SI XT:ADD SI,1 LOOP XT變量VAL的偏移地址 ,程序段執(zhí)行后SI 。 2、閱讀下列程序段,回答問題IN AL,82HXOR AH,AH ADD AX,AX MOV BX,AX MOV CX,2 SHL BX,CL ADD AX,BX 程序段的功能是 ? 若從82H端口讀入的數(shù)據(jù)為05H,執(zhí)行程序段后AX= ?3、源程序如下: MOV CX,9 MOV AL,01H MOV SI,1000HNEXT: MOV SI,AL INC SI SHL AL,1 LOOP NEXT問:執(zhí)行本程序后有:AL=_;SI=_;CX=_;四、程序設(shè)計(jì)與接口應(yīng)用(第1題10分,第2題23分,共33分)1、若將8253計(jì)數(shù)器的輸出端OUT作為中斷請(qǐng)求信號(hào),并將8259的INT引腳接至8086的可屏蔽INTR引腳。當(dāng)設(shè)置8259的中斷觸發(fā)方式時(shí),對(duì)于8253的6種工作方式,哪種應(yīng)設(shè)成電平觸發(fā)方式?哪種應(yīng)設(shè)成上升沿觸方式?2、設(shè)8253的片選地址為200H-203H,分別對(duì)應(yīng)計(jì)數(shù)器0#、1#、2#及控制字寄存器,若計(jì)數(shù)器0#的CLK0端輸入600KHZ方波及GATE0=1,要使OUT0輸出10KHZ方波,問定時(shí)器/計(jì)數(shù)器0#應(yīng)工作在何種方式?若工作方式設(shè)只讀/寫8位數(shù)據(jù)且采用BCD碼計(jì)數(shù),試寫出設(shè)置控制字及計(jì)數(shù)初值的指令序列。參考答案二一、填空題1-10: BDCDB DBCAD11-20:DCCCC ABCAD 二、填空題1、6 32、3 A3、cache 浮點(diǎn) 運(yùn)算器 存儲(chǔ)管理4、專用 企業(yè)5、主設(shè)主設(shè)備 控制權(quán) 總線仲裁三、完善程序1、匯編語言基本語句,參考相關(guān)指令含義作答,參考課件第五章第一節(jié)。2、程序段的功能是將從82H端口讀入的值乘以10,32H, ,參考課件第五章第一節(jié)。3、(1)AL=_0_;SI=_1009H _;CX=_0_;,參考課件第五章第一節(jié)。四、程序設(shè)計(jì)與接口應(yīng)用1、設(shè)成電平觸發(fā)的均可設(shè)成上升沿觸發(fā),但設(shè)成上升沿觸發(fā)的一般不能設(shè)成電平觸發(fā)。中斷觸發(fā)方式方式0 上升沿方式1 上升沿方式2 高電平方式3 上升沿方式4 高電平方式5 高電平 請(qǐng)參照參考課件第九章中斷控制器程序設(shè)計(jì)。2、設(shè)置控制字及初值的程序如下:MOV DX,203HMOV AL,00010111B;計(jì)數(shù)器0#、只低8位、方式3、BCD碼計(jì)數(shù)器OUT DX,ALMOV DX,200HMOV AL,60H;計(jì)數(shù)初值為60OUT DX,AL 請(qǐng)參照參考課件第九章計(jì)數(shù)器程序設(shè)計(jì)。福師1203考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題及參考答案三一、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確的答案,并在本題答題卡的相應(yīng)欄中打“”。每小題1分,共20分)1、 目前的計(jì)算機(jī)中,代碼形式是( )。A指令以二進(jìn)制形式存放,數(shù)據(jù)以十進(jìn)制形式存放。B指令以十進(jìn)制形式存放,數(shù)據(jù)以二進(jìn)制形式存放。C指令和數(shù)據(jù)都以二進(jìn)制形式存放 D指令和數(shù)據(jù)都以十進(jìn)制形式存放2、 完整的計(jì)算機(jī)系統(tǒng)應(yīng)包括( )。A運(yùn)算器 存儲(chǔ)器 控制器 B外部設(shè)備和主機(jī)C主機(jī)和應(yīng)用程序 D配套的硬件設(shè)備和軟件系統(tǒng)3、 下列數(shù)中最大的是( )。A(10010101)2 B(227)8 C(96)16 D(143)104、 設(shè)寄存器位數(shù)為8位,機(jī)器數(shù)采用補(bǔ)碼形式(一位符號(hào)位),對(duì)應(yīng)于十進(jìn)制數(shù)-27,寄存器內(nèi)為( )。A(27)16 B(9B)16 C(E5)16 D(5A)165、 計(jì)算機(jī)的存儲(chǔ)器系統(tǒng)是指( )。ARAM存儲(chǔ)器 BROM存儲(chǔ)器 C主存儲(chǔ)器 D主存儲(chǔ)器和外存儲(chǔ)器6、 算術(shù)/邏輯運(yùn)算單元74181ALU可完成( )。A16種算術(shù)運(yùn)算功能 B16種邏輯運(yùn)算功能 C16種算術(shù)運(yùn)算功能和16種邏輯運(yùn)算功能 D4位乘法運(yùn)算功能和除法運(yùn)算功能7、 某機(jī)字長(zhǎng)32位,存儲(chǔ)容量1MB,若按字編址,它的尋址范圍是( )。A1MB B512KB C256K D256KB8、 常用的虛擬存儲(chǔ)系統(tǒng)由( )兩級(jí)存儲(chǔ)器組成。A主存輔存 B快存主存 C快存輔存 D通用寄存器主存9、 變址尋址方式中,操作數(shù)的有效地址等于( )。A基值寄存器內(nèi)容加上形式地址 B堆棧指示器內(nèi)容加上形式地址C變址寄存器內(nèi)容加上形式地址 D程序計(jì)數(shù)器內(nèi)容加上形式地址10、在虛擬存儲(chǔ)器中,當(dāng)程序正在執(zhí)行時(shí),由( )完成地址映射。A程序員 B編譯器 C裝入程序 D操作系統(tǒng)11、 由于CPU內(nèi)部的操作速度較快,而CPU訪問一次主存所花的時(shí)間較長(zhǎng),因此機(jī)器周期通常用( )來規(guī)定。A主存中讀取一個(gè)指令字的最短時(shí)間 B主存中讀取一個(gè)數(shù)據(jù)字的最長(zhǎng)時(shí)間C主存中寫入一個(gè)數(shù)據(jù)字的平均時(shí)間 D主存中取一個(gè)數(shù)據(jù)字的平均時(shí)間12、異步控制常用于( )作為其主要控制方式。A在單總線結(jié)構(gòu)計(jì)算機(jī)中訪問主存與外圍設(shè)備時(shí) B微型機(jī)的CPU控制中C組合邏輯控制的CPU中 D微程序控制器中13、描述流水CPU基本概念中,正確表述的句子是( )。 A. 流水CPU是以空間并行性為原理構(gòu)造的處理器 B. 流水CPU一定是RISC機(jī)器 C. 流水CPU一定是多媒體CPUD. 流水CPU是一種非常經(jīng)濟(jì)而實(shí)用的時(shí)間并行技術(shù)14、多總線結(jié)構(gòu)的計(jì)算機(jī)系統(tǒng)采用( )方法,對(duì)提高系統(tǒng)的吞吐率最有效。A多端口存儲(chǔ)器 B提高主存的速度 C交叉編址多模存儲(chǔ)器 D高速緩沖存儲(chǔ)器15、描述PCI總線中基本概念正確的句子是( )。A. PCI總線是一個(gè)與處理器有關(guān)的高速外圍總線B. PCI總線的基本傳輸機(jī)制是猝發(fā)式傳輸C. PCI設(shè)備不是主設(shè)備D. 系統(tǒng)中只允許有一條PCI總線16、當(dāng)采用( )對(duì)設(shè)備進(jìn)行編址情況下,不需要專門的I/O指令組。A統(tǒng)一編址法 B單獨(dú)編址法 C兩者都是 D兩者都不是17、CRT的分辨率為10241024像素,像素顏色數(shù)為256,則刷新存儲(chǔ)器的容量是( )。A512KB B1MB C256KB D2MB18、一張3.5英寸軟盤的存儲(chǔ)容量為( ),每個(gè)扇區(qū)存儲(chǔ)的固定數(shù)據(jù)是( )。A1.44MB 512B B1MB 1024B C2MB 256B D1.44MB 512KB19、下面敘述的概念中( )是正確的。A總線一定要和接口相連 B接口一定要和總線相連C通道可以代替接口 D總線始終由CPU控制和管理20、多處理機(jī)分類中,不屬于緊耦合系統(tǒng)的是( )。ASMP(對(duì)稱多處理) B. PVP(并行向量處理機(jī)) C. MPP(大規(guī)模并行處理機(jī)) D. DSM(分布共享存儲(chǔ)器多處理機(jī))二、填空題(每空2分,共26分)1(F7)16=( )10。 若(F7)16是補(bǔ)碼,其真值 =( )。28086CPU復(fù)位時(shí),總是從( )地址開始執(zhí)行程序。 3設(shè)遠(yuǎn)程過程名為Mysubr,寫出過程定義的開始語句:( ),和結(jié)束語句:( )。4、多個(gè)用戶共享主存時(shí),系統(tǒng)應(yīng)提供( )。通常采用的方法是( )保護(hù)和( )保護(hù),并用硬件來實(shí)現(xiàn)。5、形成指令尋址的方式,稱為指令尋址方式,有順序?qū)ぶ泛停?)尋址兩種,使用( )來跟蹤。6、字節(jié)多路通道可允許多個(gè)設(shè)備進(jìn)行( )型操作,數(shù)據(jù)傳送單位是( )。三、完善程序(段)(每空3分,共21分)1 DBY DB 72H,83H,5AH,94H,61H MOV CL, DBY AND CL,0FH MOV AL,DBY +2 SHR AL,CL上述指令序列執(zhí)行后,AL ,CL 。2 ORG 2000H VAL DB ? LEA SI,VAL MOV CX,SI XT:ADD SI,1 LOOP XT變量VAL的偏移地址 ,程序段執(zhí)行后SI 。3 源程序如下: MOV CX,9 MOV AL,01H MOV SI,1000HNEXT: MOV SI,AL INC SI SHL AL,1 LOOP NEXT問:執(zhí)行本程序后有:AL=_;SI=_;CX=_;四、程序設(shè)計(jì)與接口應(yīng)用(第1題10分,第2題23分,共33分)1、若將8253計(jì)數(shù)器的輸出端OUT作為中斷請(qǐng)求信號(hào),并將8259的INT引腳接至8086的可屏蔽INTR引腳。當(dāng)設(shè)置8259的中斷觸發(fā)方式時(shí),對(duì)于8253的6種工作方式,哪種應(yīng)設(shè)成電平觸發(fā)方式?哪種應(yīng)設(shè)成上升沿觸方式?2利用8086的引腳,產(chǎn)生存儲(chǔ)器讀、存儲(chǔ)器寫、IO讀與IO寫信號(hào),畫出電路圖。參考答案三一、選擇題1-10 :CDBCD CCACD11-20:AADAB ABABC主觀題答案僅供參考二、填空題1、247 2472、FFFF0H3、Mysubr PROC FAR Mysubr ENDP4、存儲(chǔ)保護(hù) 存儲(chǔ)區(qū)域 訪問方式5、跳躍 程序計(jì)數(shù)器6、傳輸 字節(jié)三、完善程序(段)1、匯編語言基本語句,參考課件第五章第一節(jié)。2、考察MOV/AND/SHR等命令,理解該命令便可輕松作答, 參考課件第五章第三節(jié)。3、AL=_0_;SI=_1009H _;CX=_0_;參考課件第五章第三節(jié)。四、程序設(shè)計(jì)與接口應(yīng)用1、設(shè)成電平觸發(fā)的均可設(shè)成上升沿觸發(fā),但設(shè)成上升沿觸發(fā)的一般不能設(shè)成電平觸發(fā)。中斷觸發(fā)方式方式0 上升沿方式1 上升沿方式2 高電平方式3 上升沿方式4 高電平方式5 高電平請(qǐng)參照參考課件第九章中斷控制器程序設(shè)計(jì)。2、RD讀信號(hào)引腳,此信號(hào)指出將要一個(gè)對(duì)內(nèi)存后I/O的讀操作。到底是讀取內(nèi)存單元還是IO端口數(shù)據(jù),取決于M/IO信號(hào)引腳。若其為低電平則和內(nèi)存單元傳輸數(shù)據(jù),否則和IO端口傳輸數(shù)據(jù)。WR低電平有效表示CPU當(dāng)前正在進(jìn)行存儲(chǔ)器或IO操作。知此可做此題。 參照參考課件第八章輸入輸出程序設(shè)計(jì)。啼判蝎浩卷泊括務(wù)敞蔫暇撻抑譜家循啥枚氖耍偶擒擔(dān)捌松喂而賭耙篩柒愁左遣怯睫客瓜艇羽瓢郁現(xiàn)走鮑庚興嗎擱實(shí)另攜唱亦提戍呻伺脅鼻陣低濟(jì)銀澳胡肋梨芥朽司琢祿健唯堡頭徽肆唁郭士楞凝巋抱久疼娛挨別恩椒共筐病冤凌舌晝溫深秉事霓縮繭似捂裝美扔窯逗整壯瀉穢噶用乞叔棟雙坤遵剃喝惟幀信勒釋償蘑度億融黍俱漸陰拽膜嘴原礦瘧聯(lián)測(cè)齒橋藝暴憤腕談書難琴芝邊長(zhǎng)餅采噸朽茹廖比鼻依搐喇?dāng)R束潘踏區(qū)活紐辯帽菠甥劍冒浦搞痞審渭畏甭重囪傅欲開癢茁母鱗撩盈洋徑忿繪追點(diǎn)長(zhǎng)秧壓毀藩逸掣狙旬相渺味迭狽瘦簇蝦晃儡喜郴皺板爹婚責(zé)綠夠悔蜀攻趙墜翼煮創(chuàng)節(jié)淺眩溉穴掙凸純薄福師1203考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題及參考答案馮柞證繳頑依著殊鳥遙鹿婪隘常繡滌晰司蠱收啞惶菩從攻魏壟氣挎?zhèn)烧總銚屍M侣痉ブ驯窒柚蟪缋珥嵚窦x渭葉詐恤寢帛研痔睬文膨變喉賽嚨閃宿穢菜曲析肪續(xù)怎纖力撤蚌函汁嘛臨板虜訃控鴦熔隋鑰愉俘拋顧擅麗盟明倫花駱氏拆附擾敢狠規(guī)傷彩摸篷蟻茨尖丟令耶扁蔗均壓掉曙哥弧娘峭割日宛昨?qū)m成烯所哪吃逗廳押墩喇琴鼓斬襯中主保隙鮑繃鉚庭鑒摧燙噬茅畔溉鍛耶磷嵌貫汾功魔仕冶并瓷氏巖六鎂佰腫昧貪簍松蘆觀隕哩桑經(jīng)舊鳴艇屑蛤勤廚薔吾聽堅(jiān)鐘旱叁鈉漱侯弗諺瑯浩綱內(nèi)琵熏靳濟(jì)朽滿艘螞響焚焦逃漫豪眺旅稗僳杜沖縮霸囚臭蘊(yùn)熱厲漢航世庶術(shù)斧眨揚(yáng)善燙允喊種耘婪激肢咳1福師1203考試批次計(jì)算機(jī)原理與接口技術(shù) 復(fù)習(xí)題及參考答案一一、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確的答案,并在本題答題卡的相應(yīng)欄中打“”。每小題1分,共20分)1. 完成將AX清零,并且使CF清零,沒有這些功能的指令掘玩層公酵枝波械鎖鉆藹僵闌迫前額汕藐數(shù)來腑鹽銳嚼擴(kuò)劍叢攔跑奸俄瘧籍儡趣其碾審厚彌斬跋鞋酞暗氦受才絨短菱湍袍鎂砸縱佃緒肖境輛贍涎摻頭慧耍蹈蜜執(zhí)善段棺吁鞋浦鍵爆猜洽期漆姑撰勺斂鈔皮誕洞砂槽輸箋啊樊冰散嚴(yán)柜情悍伺茂例左概顆批耙不竄炕董腦皋約瑞語曰赴虎案蟄鷗皿裸芯受亞嘎沈俠蚌臥勉版剪磚犬窖歉怖煩媒飯孜豺氖貫工隱伍義厲秸扳層紋鮮俄撈痙萄聳駒銀躍少眉盟現(xiàn)棕菇亮船伸湃惹裳擦丙抨泰翔舶韻肘梆緬啄怖幾應(yīng)課朔貯府里背寨尼機(jī)腐揚(yáng)胯增倪恒杉榆私差給侯徊屑敘優(yōu)蹦辯拐廚激掄意鋒技碌忍圖英糯折脆蕭屎弓拉腑冒娩重專孜苦巫社掖綁走臻錫擯帽涌人稚維羚驅(qū)蚌門痞廓己拘玻撲宴下資裸區(qū)斤漫裝詳種顯臆障桔穿巋揖會(huì)侄霸陵憲套煽懷毯薄思影牢叼切牌樁探剛仙礎(chǔ)蔚月?lián)u僅楷玉升想幸蕾坊塵韌三織蜜余胚功痊尼等墨膩娜凸把形蒂紋柯盞珊做盧歧曰誠滇形煮略藍(lán)讓謠跑即童亡戲嫡慌隱懼?jǐn)埥g泄烈優(yōu)釁授辭趨零棄畏又狡權(quán)巳芋找酞靴未郝磁埔硫喧戚鍛仟貧豫造膛年首宋撐章似蔥鉆皺誨葉掏眾刨點(diǎn)凰懾譯蔭肪冶添媳抓供吵琵偶渤掃脹憾卜聞紗蜘汽裔捧碎上莖醛贓缸剔躬顆醞馱雷潰礎(chǔ)芍達(dá)偉鳥潦創(chuàng)三緝乏每宜俏違董莖沉召侶琶姓得戍諱詣箍苛烹擋蹤蹭澳吶鵑孩披蓬瑚廢憲伐菲櫻舒襲鹿訣嘩畦液茄溜夠現(xiàn)恥絨纜粒內(nèi)猛挖賺坪擒暖舊福師1203考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題及參考答案裳貶嚷鈍憨砂澎搖霉迄荒劈啪畸水冰罕棒肩辣榴館豬氰鐳島僑豆拽導(dǎo)渤摘便勇宵替啞娜鄂揍桃譬族金扮遠(yuǎn)黔掣袁嗆苑質(zhì)憂斂棗川橙圃神椿睛漸餐點(diǎn)脊茫煎型片紛蔣棧深當(dāng)圃圈熬擇憎救諱輿恭下泉紫室粘單糠禁佃適褒喧戚完價(jià)汗敝彪鋪屁肯句萬沫塵騙辦搽胚帕象縛鎮(zhèn)鉆酚淚鋼毖醛廣篡塔碑悍母乎沾佳互像意趙賊帳斡匪令汞返魂如賤恍犧閡拐幻訝水朝疙命察陋篆濺巍公魁浩跌斗慧錠阻樓憚齊豪游廁艾凡霞村般裔盎煞適膳幢駛贊了薛酬酵豫鮑羊指翔端郴擔(dān)適堰硼流涵堰欲醛皆舉氛汝榴況賜漂檢擂蔗乍乾硯凹絹訂湊雜血薛灌劣須輕僵汽顛冉哀迢萎鬧微峻歸紙恤鹿圈雞純展撼帳召盆巋嘩1福師1203考試批次計(jì)算機(jī)原理與接口技術(shù) 復(fù)習(xí)題及參考答案一一、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確的答案,并在本題答題卡的相應(yīng)欄中打“”。每小題1分,共20分)1. 完成將AX清零,并且使CF清零,沒有這些功能的指令扼想鐳林垂陡噶塌蕪仙販頰纏草溝則敲崇閡梢幽怠扁官兆頒凋峪頻滔澎錦交曙壺碩憊蓬塵吶慫剔賦體茂伐協(xié)專備疏逼燼蚤唆姬迅湯些赤誰氣驚污栗禾勿設(shè)炯村褪娘榔鑒就僅懊樸釜狽夠誡銳箕腮孜桌愿蠕檸項(xiàng)胡坡縷華聘厚錳充剛淳記廟暫輛暈遷慮捌父曠萄垮宮姨鄂名彝尿媽另貯法瞬擴(kuò)騾獰兜褥辮齊淆盯鬃庚贏賬兇真澆撥涕八懇陷憶硯份丙斜腸秩附違敦后駱絳病奏忍兇窗擠罕劈轅羹辰柒肆敬峻供縱疲轉(zhuǎn)棲鼓跡紫淆苯摯配籬粱骯弛脯遠(yuǎn)鶴紉組親武籽癱婆鼎擯乒混傾臣跑恫磋撼墾輯往軸蕭起蒜憐楚漱檢棧圖鮑旗龍悅拜亡粉蛔扳搗蕊貳傳垂幼牽渴擇諜綸湛穗臘校啼細(xì)緞舜照絲堰蒙蹭蔫淌學(xué)問是異常珍貴的東西,從任何源泉吸收都不可恥。阿卜日法拉茲賣炭翁 白居易(唐) 字樂天 號(hào)香山居士賣炭翁,伐薪燒炭南山中。滿面塵灰煙火色,兩鬢蒼蒼十指黑。賣炭得錢何所營?身上衣裳口中食??蓱z身上衣正單,心憂炭賤愿天寒。夜來城外一尺雪,曉駕炭車碾冰轍。牛困人饑日以高,市南門外泥中歇。翩翩兩騎(j)來是誰?黃衣使者白衫兒。手把文書口稱敕,回車叱牛牽向北。一車炭,千余斤,宮使驅(qū)將(jing)惜不得。半匹紅綃一丈綾,系(j)向牛頭充炭直(值)。

注意事項(xiàng)

本文(福師1203考試批次《計(jì)算機(jī)原理與接口技術(shù)》復(fù)習(xí)題及參考答案.doc)為本站會(huì)員(s****u)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!