歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)字電子技術(shù)復(fù)習(xí)題 .doc

  • 資源ID:12754710       資源大?。?span id="bzxtcdw" class="font-tahoma">1.11MB        全文頁(yè)數(shù):13頁(yè)
  • 資源格式: DOC        下載積分:5積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要5積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

數(shù)字電子技術(shù)復(fù)習(xí)題 .doc

數(shù)字電子技術(shù)綜合復(fù)習(xí)資料一、單項(xiàng)選擇題1在下列各圖中,同或邏輯Z對(duì)應(yīng)的邏輯圖是 。A. & Z B. 1 Z C. 1 Z D. =1 Z2. 邏輯表達(dá)式A(B+C)=AB+AC的對(duì)偶式是 。A. B. A+BC=(A+B)(A+C)C. AB+AC=A(B+C) D. 3如果要采用奇校驗(yàn)方式傳送一個(gè)七位二進(jìn)制代碼0011010,則其校驗(yàn)位為 。A 0 B.1 C.00110101 D.其它4. 三態(tài)門有一使能控制端,當(dāng)使能端為無效電平時(shí),正確的是 。A. 輸出端為高阻態(tài) B . 輸出端為高電平 C. 輸出端為低電平 D. 輸出與輸入間有正常的邏輯關(guān)系5用四選一數(shù)據(jù)選擇器實(shí)現(xiàn)函數(shù)Y=,應(yīng)使 。A.D0=D2=0,D1=D3=1 B.D0=D2=1,D1=D3=0C.D0=D1=0,D2=D3=1 D.D0=D1=1,D2=D3=06有一個(gè)與非門構(gòu)成的基本觸發(fā)器,欲使其輸出狀態(tài)保持原態(tài)不變,其輸入信號(hào)應(yīng)為 。. B. . . 7.若用JK觸發(fā)器來實(shí)現(xiàn)狀態(tài)方程為,則J、K端的驅(qū)動(dòng)方程為 。A.J=AB,K= B.J=AB,K= C.J=,K=AB D.J=,K=AB8一個(gè)8421BCD碼十進(jìn)制計(jì)數(shù)器,設(shè)其初態(tài)Q3Q2Q1Q0=0011,輸入的時(shí)鐘脈沖頻率 f=1kHz。試問在100ms時(shí)間后,計(jì)數(shù)器的狀態(tài)為 。A0010; B0011; C0111 D.01109欲將容量為1K4的RAM擴(kuò)展為4K4,則需要控制各片選端的輔助譯碼器的輸出端數(shù)為 。A.1 B.2 C.4 D.810一個(gè)8位A/D轉(zhuǎn)換器,若所轉(zhuǎn)換的最大模擬電壓為5V,當(dāng)輸入2V電壓時(shí),其輸出的數(shù)字量為 。A00111001 B01100110C10011001 D0101001011一個(gè)7位二進(jìn)制加法計(jì)數(shù)器,如果輸入脈沖頻率 f=256kHz,試求此計(jì)數(shù)器最高位觸發(fā)器輸出脈沖頻率為_。A32kHz; B2kHz; C128 kHz D256kHz12用n個(gè)觸發(fā)器構(gòu)成計(jì)數(shù)器,可得到的最大計(jì)數(shù)長(zhǎng)度(模值)為_。ui6215384uo4V5VA. n B. 2n C. D. 13由555定時(shí)器構(gòu)成的施密特觸發(fā)器如圖所示,該電路的回差電壓為 V。A5B4C2D5/314設(shè)F=AB+,則它的反函數(shù)是_。A. =(A+B)() B.=()(C+D)C. = D.以上都不正確 15能使邏輯函數(shù)均為1的輸入變量組合是_。A. 1101,0001,0100,1000 B. 1100,1110,1010,1011 C. 1110,0110,0111, 1111 D.1111,1001,1010,0000二、單項(xiàng)選擇題,請(qǐng)將正確答案的題號(hào)填入相應(yīng)的橫線上。1. F=A的值是 。A. B B. A C. D. B2. = 。A. B. C. D. ABC3設(shè)SS為四選一數(shù)據(jù)選擇器的地址輸入端,XX為數(shù)據(jù)輸入端,Y為數(shù)據(jù)輸出端,則Y= 。A. X+SX+SX+SSXB. X+ SX+SX+SSXC. SX+SX+X+SSX D. X+SX+SX+SSX4如果要采用奇校驗(yàn)方式傳送一個(gè)七位二進(jìn)制代碼0011010,則其校驗(yàn)位為 。A 0 B1 C00110101 D其它5在圖示的TTL門電路中,要求實(shí)現(xiàn)下列規(guī)定的邏輯功能時(shí),其連接沒有錯(cuò)誤的是 。 6. =,=, 它們之間的關(guān)系是_。A. = B.= C.= D.7、邏輯函數(shù)F=A+BC(A+B),當(dāng)ABC的取值為_時(shí),F(xiàn)=1。+12VlRCRB+6VuiVO1kb=5050kA 000 B 011 C 101 D1118如圖所示電路中硅三極管的輸出電壓為_V。A12 B6 C6.7 D0.3VCCRCRBAl&&RCVCCRBC&DVCCRCRBl&&VCCRClB&&9如圖所示電路均為TTL電路,假設(shè)電路參數(shù)合理,則可以正常工作的是_。10現(xiàn)在要用一個(gè)四位二進(jìn)制加法器實(shí)現(xiàn)余三碼到8421BCD碼的轉(zhuǎn)換,將A3A2A1A0端與余三碼相連接,CI接“0”,則在B3 B2 B1 B0 端加上二進(jìn)制數(shù) 便可。A1100 B1101 C0011 D101111. 下面邏輯式中,正確的是 。A. A(A+B)=B B. A(A+B)=AC. A(A+B)=AB D. A(A+B)=A+B12對(duì)于TTL與非門閑置輸入端的處理,不可以 。A.接電源 B.通過電阻3k接電源 C.接地 D.與有用輸入端并聯(lián)13邏輯狀態(tài)表如下所示,能實(shí)現(xiàn)該功能的邏輯部件是_。A 十進(jìn)制譯碼器 B 二進(jìn)制譯碼器 C 二進(jìn)制編碼器 D十進(jìn)制編碼器輸入輸出BAY0Y1Y2Y300100001010010001011000114一位碼譯碼器的數(shù)據(jù)輸入線與譯碼器輸出線組合是 。. : . :. : .:15下列表達(dá)式對(duì)應(yīng)的電路不存在競(jìng)爭(zhēng)冒險(xiǎn)的是 。A B C D三、填空題1。1、(62)10 (_)22、十進(jìn)制數(shù) 13的反碼為_。3、函數(shù)的最簡(jiǎn)與或式為_。4、三態(tài)門(TS門)的輸出狀態(tài)除了高電平或低電平兩種狀態(tài)外,還有第三狀態(tài)是 。5、對(duì)25個(gè)信號(hào)進(jìn)行編碼,則轉(zhuǎn)換成的二進(jìn)制代碼至少應(yīng)有 _ 位。6、圖示電路中,三極管工作在飽和狀態(tài),其CE間的輸出電壓約等于 v。7、時(shí)序電路中所有觸發(fā)器的狀態(tài)變化是在同一時(shí)鐘脈沖控制下同時(shí)發(fā)生,這種時(shí)序電路稱為 _ 。8、在組合邏輯電路中,若出現(xiàn)F=A,則有可能產(chǎn)生_型冒險(xiǎn)。9、由555定時(shí)器構(gòu)成的單穩(wěn)態(tài)電路中,給定外圍的定時(shí)元器件R1K,C0.1uF,則暫穩(wěn)態(tài)的持續(xù)時(shí)間是_毫秒。10、8位A/D轉(zhuǎn)換器,若所轉(zhuǎn)換的最大模擬電壓為5V,當(dāng)輸入2V電壓時(shí),其輸出的數(shù)字量為 。11、 25=( )2 。 12、(43)10=( )8421 。13、最小項(xiàng)的相鄰項(xiàng)有 個(gè)。14、邏輯函數(shù)F=A+ (AB),欲使F=1,則A、B取值為 。15、編碼器有10個(gè)輸入,則輸出應(yīng)有 位。16、欲將1kH的脈沖信號(hào)分頻為100 H,應(yīng)選用 進(jìn)制計(jì)數(shù)器。17、若需要每輸入240個(gè)脈沖分頻器能輸出一個(gè)脈沖,則由二進(jìn)制加計(jì)數(shù)器構(gòu)成的分頻器至少需要 個(gè)觸發(fā)器。 18、一個(gè)16 KB的RAM,具有 根地址線。19、由555定時(shí)器構(gòu)成的施密特觸發(fā)器如圖所示,該電路的回差電壓為 V。ui6215384uo4V5V.20、(22)10=( )2。21、(9)補(bǔ)碼=( )。22、n個(gè)變量可以構(gòu)成 個(gè)最小項(xiàng)。23、 若偶數(shù)個(gè)1相異或,其結(jié)果為 。24、D觸發(fā)器的次態(tài)方程是Q= 。四、填空題21、十進(jìn)制數(shù)(14)10的8421BCD編碼為 。2、邏輯代數(shù)1+1+1= 。3、L=AC+BC,其與非與非表達(dá)式為 。4、三態(tài)門的三個(gè)狀態(tài)分別是1、0、 。5、某二進(jìn)制代碼是11011,若加入一位偶校驗(yàn)碼,則該校驗(yàn)位是 。6、對(duì)于JK觸發(fā)器,若K=,則可完成 觸發(fā)器的功能。7、給定周期為1ms 的脈沖信號(hào),將其分頻為50 Hz的信號(hào),則應(yīng)選用_進(jìn)制計(jì)數(shù)器。8、不考慮溢出,欲將一個(gè)存放在移位寄存器中的二進(jìn)制數(shù)除以8,需要 個(gè)移位脈沖。9、一個(gè)16 KB的RAM,具有 根地址線。10、555定時(shí)器可以構(gòu)成多種脈沖電路,其中可以用于定時(shí)的電路是 。11、(43)10 =( )2。12、將邏輯函數(shù)F=m(0,2,3,4,6,7,10,11,14,15),化簡(jiǎn)為最簡(jiǎn)與或式結(jié)果為 。13、三態(tài)門的輸出狀態(tài)除了高電平或低電平兩種狀態(tài)外,還有第三狀態(tài)是 。14、若用二進(jìn)制代碼對(duì)48個(gè)字符進(jìn)行編碼,則至少需要 位二進(jìn)制。15、JK觸發(fā)器的次態(tài)方程是Q= 。16、若奇數(shù)個(gè)1相同或,其結(jié)果為 。17、欲將32768H的脈沖信號(hào)分頻為1024 H,應(yīng)選用 進(jìn)制計(jì)數(shù)器。18、一個(gè)16 KB的RAM,具有 根數(shù)據(jù)線。19、采用74138級(jí)聯(lián)來構(gòu)成一個(gè)4-16線譯碼器,則需要_片74138。20、在組合邏輯電路中,若出現(xiàn)F=A+,則有可能產(chǎn)生_型冒險(xiǎn)。21、D觸發(fā)器的次態(tài)方程是Q=_。22、不考慮溢出的情況,欲將一個(gè)存放在移位寄存器中的二進(jìn)制數(shù)乘以16,需要個(gè)移位脈沖。23、有一個(gè)電路需要每輸入240個(gè)脈沖分頻器能輸出一個(gè)脈沖,則由二進(jìn)制加法計(jì)數(shù)器構(gòu)成的分頻器至少需要 個(gè)觸發(fā)器。24、若要求DAC電路的分辨率達(dá)到千分之一,則至少應(yīng)選用_位二進(jìn)制代碼輸入的轉(zhuǎn)換器。25、一片存儲(chǔ)容量為32K8的只讀存儲(chǔ)器ROM,應(yīng)該具有_根地址線。五、分析計(jì)算題1、一個(gè)邏輯函數(shù)F=m(2,6,7)。(1)寫出其反函數(shù)F1的最小項(xiàng)標(biāo)準(zhǔn)表達(dá)式;(2)用代數(shù)法將F1化簡(jiǎn)為最簡(jiǎn)或與表達(dá)式。2、八路數(shù)據(jù)選擇器構(gòu)成的電路如圖所示,為地址碼,為數(shù)據(jù)輸入,寫出該電路所實(shí)現(xiàn)的函數(shù)F的最簡(jiǎn)邏輯表達(dá)式。 3、用卡諾圖法化簡(jiǎn)邏輯函數(shù)并將最簡(jiǎn)式變換為與非與非的形式。D0D1D2D3S1S01Yl11lllll&&&&14、分析圖示邏輯電路。(寫出邏輯函數(shù)Y;列出真值表;說明該電路的邏輯功能)5、分析電路的模為何值,并畫出有效循環(huán)狀態(tài)圖。 6、分析圖示電路的邏輯功能。ABFL1l&&&l17、如圖所示由數(shù)據(jù)選擇器構(gòu)成的電路(1)寫出電路輸出Z的表達(dá)式;(2)求出Z的最簡(jiǎn)與或表達(dá)式。8、化簡(jiǎn)邏輯函數(shù)為最簡(jiǎn)與或式,將最簡(jiǎn)式變換為與非形式。9、試分析如圖所示邏輯電路,分別寫出L1和L2的最簡(jiǎn)與或式。六、邏輯電路設(shè)計(jì)題1、試用譯碼器74138和適當(dāng)?shù)拈T電路實(shí)現(xiàn)邏輯函數(shù):Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 74138譯碼器S1 S2 S3A2 A1 A0L=AB+BC+CA2、用譯碼器74LS138實(shí)現(xiàn)。Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 74LS138譯碼器S1 S2 S3A2 A1 A0 3、集成4位同步二進(jìn)制加法計(jì)數(shù)器74LS161的功能表如下所示。試用74LS161設(shè)計(jì)一個(gè)模值為13的計(jì)數(shù)器。要求:(1)采用反饋清零法;(2)外加門電路要少;(3)寫出簡(jiǎn)要設(shè)計(jì)步驟;(4)在給定的邏輯圖的基礎(chǔ)上完成連線和設(shè)計(jì)。D0 D1 D2 D3TLDCCRP 74LS161 CPQ0 Q1 Q2 Q34、有一火災(zāi)報(bào)警系統(tǒng),設(shè)有煙感A、溫感B和紫外光感C三種不同類型的火災(zāi)探測(cè)器。為了防止誤報(bào)警,只有當(dāng)其中兩種或以上的探測(cè)器探測(cè)出火災(zāi)信號(hào)時(shí),報(bào)警系統(tǒng)方發(fā)出報(bào)警信號(hào)。試用或非門實(shí)現(xiàn)產(chǎn)生報(bào)警信號(hào)的邏輯電路。七、分析計(jì)算題。1、如圖所示為PLD實(shí)現(xiàn)的邏輯函數(shù),請(qǐng)寫出輸出端的邏輯表達(dá)式。PLD電路圖AllBllF12342、一個(gè)由3:8線譯碼器構(gòu)成的邏輯電路如圖所示,寫出邏輯函數(shù),的最簡(jiǎn)邏輯表達(dá)式。 3、4位同步二進(jìn)制計(jì)數(shù)器74LS161的構(gòu)成如下所示計(jì)數(shù)電路: 試分析計(jì)數(shù)器的模值,并畫出其有效的狀態(tài)循環(huán)圖。1 0 0 11C PD0 D1 D2 D3TlLDCCRP 74LS161 CPQ0 Q1 Q2 Q3&1 4、化簡(jiǎn)邏輯函數(shù),將最簡(jiǎn)式變換為與非的形式。5、74LS90構(gòu)成如下所示計(jì)數(shù)電路,試分析計(jì)數(shù)器的模值,并畫出其有效的狀態(tài)循環(huán)圖。6、卡諾圖化簡(jiǎn)F(A,B,C,D)=m(3,5,7,8,9,10,11)+ d(0,1,2,13,14,15)7、試分析如圖所示計(jì)數(shù)電路的模值,并寫出其有效的狀態(tài)循環(huán)碼。CPlQ0 Q2 Q1 Q3CR&CP74161T1CP1D0 D2 D1 D3LD八、邏輯電路設(shè)計(jì)題。1、用8選1 MUX設(shè)計(jì)一個(gè)邏輯電路,實(shí)現(xiàn)邏輯函數(shù)F=(1,2,4,7)。2、請(qǐng)用4位同步二進(jìn)制計(jì)數(shù)器74LS161采用置零法設(shè)計(jì)一個(gè)模值為9的計(jì)數(shù)器,并畫出其有效的狀態(tài)循環(huán)圖。YD0D1D2D3D4D5D6D7ENS2 S1 S0MUX3、請(qǐng)分別用八選一數(shù)據(jù)選擇器實(shí)現(xiàn)邏輯函數(shù)4、請(qǐng)用4位同步二進(jìn)制計(jì)數(shù)器74LS161采用置零法設(shè)計(jì)一個(gè)模值為7的計(jì)數(shù)器,并畫出其有效的狀態(tài)循環(huán)圖。C PD0 D1 D2 D3TLDCCRP 74LS161 CPQ0 Q1 Q2 Q3Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 74LS138譯碼器S1 S2 S3A2 A1 A05、試用所示3線8線譯碼器74LS138,實(shí)現(xiàn):6、請(qǐng)?jiān)O(shè)計(jì)一個(gè)多數(shù)表決電路。要求A、B、C三人中只要有兩個(gè)或兩個(gè)以上的人同意(采用“1”表示),則決議就能通過(采用“1”表示)。但C還有決定權(quán),即只要C同意,即使其他人不同意也能通過。參考答案:一、單項(xiàng)選擇題DBAAA DBBBBBDCBA二、單項(xiàng)選擇題1、A 2、A 3、A 4、B 5、B6、A 7、B 8、C 9、C 10、B11、B 12、C 13、B 14、C 15、C三、填空題1。1、111110 2、10010 3、1 4、高阻 5、56、0.3V 7、同步時(shí)序邏輯電路 8、1 9、1.1 10、66H11、11001 12、01000011 13、4 14、10 15、416、10 17、8 18、14 19、2 20、10110 21、10111 22、2n 23、0 24、0 四、填空題21、00010100 2、1 3、 4、高阻 5、06、D 7、20 8、3 9 、14 10、單穩(wěn)態(tài)觸發(fā)器11、101011 12、 13、高阻 14、6 15、J+16、1 17、32 18、8 19、2 20、021、D 22、4 23、8 24、10 25、15 五、分析計(jì)算題1、(1)F=m(0,1,3,4,5) (2)答案略2、F=m(0,4,5)3、4、4選1 MUX5、M=7 1000100110101011110011011110Q3Q2Q1Q06、 本電路實(shí)現(xiàn)同或邏輯功能。 7、解:(1) Z = ABCD0 + ABCD1 + ABCD2 + ABCD3 +ABCD4 + ABCD5 +ABCD6 +ABCD7= ABC0 + ABCD + ABC1 + ABC1 +ABC1 + ABC1 +ABCD +ABC0= ABCD + ABC + ABC +ABC + ABC +ABCD = m(3,4,5,6,7,8,9,10,11,12)(2)采用卡諾圖法化簡(jiǎn),卡諾圖如下,化簡(jiǎn)為最簡(jiǎn)與或表達(dá)式 Z= AB + AB +ACD +ACD 8、9、L1=ABC L2=AB+BC+CA六、邏輯電路設(shè)計(jì)題1、F=(3,5,6,7),2、F=m(0,3,5,6)Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 74LS138譯碼器S1 S2 S3A2 A1 A0C PD0 D1 D2 D3TLDCCRP 74LS161 CPQ0 Q1 Q2 Q3&113、4、ABCF00001111001100110101010100010111 F=(3,5,6,7)七、分析計(jì)算題1、2、 F1=(1,2,3,7) F2=(3,5,6,7)3、 M=81001 0000 0001 0010 0011 0100 0101 01104、5、M=6 0000 0001 0010 0011 0100 01016、7、M=9 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001八、邏輯電路設(shè)計(jì)題 1、D0D3D5D6=0 D1D2D4D7=1CPlQ0 Q2 Q1 Q3CR&CP74161T1CP1D0 D2 D1 D3LD2、3、 D0D3D5D6=0 D1D2D4D7=1用置零法將74161接成7進(jìn)制計(jì)數(shù)器 CRC&C P1l1LDP 74161CPTD0 D1 D2 D3Q0 Q1 Q2 Q34、5、F1=(1,2,3,6) F2=(0,2,5,6,7)6、根據(jù)題意列出函數(shù)的真值表如表所示。ABCL00001111001100110101010101010111根據(jù)真值表可以寫出邏輯表達(dá)式:

注意事項(xiàng)

本文(數(shù)字電子技術(shù)復(fù)習(xí)題 .doc)為本站會(huì)員(s****u)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!