歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

計(jì)算機(jī)組成原理白中英本科生試題庫(kù)整理附答案.doc

  • 資源ID:12758807       資源大?。?span id="mgdck88" class="font-tahoma">864.58KB        全文頁(yè)數(shù):18頁(yè)
  • 資源格式: DOC        下載積分:5積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要5積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

計(jì)算機(jī)組成原理白中英本科生試題庫(kù)整理附答案.doc

一、選擇題1從器件角度看,計(jì)算機(jī)經(jīng)歷了五代變化。但從系統(tǒng)結(jié)構(gòu)看,至今絕大多數(shù)計(jì)算機(jī)仍屬于(B)計(jì)算機(jī)。A并行B馮諾依曼C智能D串行2某機(jī)字長(zhǎng)32位,其中1位表示符號(hào)位。若用定點(diǎn)整數(shù)表示,則最小負(fù)整數(shù)為(A)。A-(231-1)B-(230-1)C-(231+1)D-(230+1)3以下有關(guān)運(yùn)算器的描述,(C )是正確的。A只做加法運(yùn)算B只做算術(shù)運(yùn)算C算術(shù)運(yùn)算與邏輯運(yùn)算D只做邏輯運(yùn)算4 EEPROM是指(D )A讀寫存儲(chǔ)器B只讀存儲(chǔ)器C閃速存儲(chǔ)器D電擦除可編程只讀存儲(chǔ)器5常用的虛擬存儲(chǔ)系統(tǒng)由(B )兩級(jí)存儲(chǔ)器組成,其中輔存是大容量的磁表面存儲(chǔ)器。Acache-主存B主存-輔存Ccache-輔存D通用寄存器-cache6 RISC訪內(nèi)指令中,操作數(shù)的物理位置一般安排在(D )A棧頂和次棧頂B兩個(gè)主存單元C一個(gè)主存單元和一個(gè)通用寄存器D兩個(gè)通用寄存器7當(dāng)前的CPU由(B )組成。A控制器B控制器、運(yùn)算器、cacheC運(yùn)算器、主存D控制器、ALU、主存8流水CPU是由一系列叫做“段”的處理部件組成。和具備m個(gè)并行部件的CPU相比,一個(gè)m段流水CPU的吞吐能力是(A )。A具備同等水平B不具備同等水平C小于前者D大于前者9在集中式總線仲裁中,(A )方式響應(yīng)時(shí)間最快。A獨(dú)立請(qǐng)求B計(jì)數(shù)器定時(shí)查詢C菊花鏈D分布式仲裁10 CPU中跟蹤指令后繼地址的寄存器是(C )。A地址寄存器B指令計(jì)數(shù)器C程序計(jì)數(shù)器D指令寄存器11從信息流的傳輸速度來(lái)看,(A )系統(tǒng)工作效率最低。A單總線B雙總線C三總線D多總線12單級(jí)中斷系統(tǒng)中,CPU一旦響應(yīng)中斷,立即關(guān)閉(C )標(biāo)志,以防止本次中斷服務(wù)結(jié)束前同級(jí)的其他中斷源產(chǎn)生另一次中斷進(jìn)行干擾。A中斷允許B中斷請(qǐng)求C中斷屏蔽DDMA請(qǐng)求13下面操作中應(yīng)該由特權(quán)指令完成的是(B )。A設(shè)置定時(shí)器的初值B從用戶模式切換到管理員模式C開定時(shí)器中斷D關(guān)中斷14馮諾依曼機(jī)工作的基本方式的特點(diǎn)是(B )。A多指令流單數(shù)據(jù)流B按地址訪問(wèn)并順序執(zhí)行指令C堆棧操作D存貯器按內(nèi)容選擇地址15在機(jī)器數(shù)(B )中,零的表示形式是唯一的。A原碼B補(bǔ)碼C移碼D反碼16在定點(diǎn)二進(jìn)制運(yùn)算器中,減法運(yùn)算一般通過(guò)(D )來(lái)實(shí)現(xiàn)。A原碼運(yùn)算的二進(jìn)制減法器B補(bǔ)碼運(yùn)算的二進(jìn)制減法器C原碼運(yùn)算的十進(jìn)制加法器D補(bǔ)碼運(yùn)算的二進(jìn)制加法器17某計(jì)算機(jī)字長(zhǎng)32位,其存儲(chǔ)容量為256MB,若按單字編址,它的尋址范圍是(D )。A064MBB032MBC032MD064M18主存貯器和CPU之間增加cache的目的是(A )。A解決CPU和主存之間的速度匹配問(wèn)題B擴(kuò)大主存貯器容量C擴(kuò)大CPU中通用寄存器的數(shù)量D既擴(kuò)大主存貯器容量,又?jǐn)U大CPU中通用寄存器的數(shù)量19單地址指令中為了完成兩個(gè)數(shù)的算術(shù)運(yùn)算,除地址碼指明的一個(gè)操作數(shù)外,另一個(gè)常需采用(C )。A堆棧尋址方式B立即尋址方式C隱含尋址方式D間接尋址方式20同步控制是(C )。A只適用于CPU控制的方式B只適用于外圍設(shè)備控制的方式C由統(tǒng)一時(shí)序信號(hào)控制的方式D所有指令執(zhí)行時(shí)間都相同的方式21描述PCI總線中基本概念不正確的句子是(CD )。APCI總線是一個(gè)與處理器無(wú)關(guān)的高速外圍設(shè)備BPCI總線的基本傳輸機(jī)制是猝發(fā)式傳送CPCI設(shè)備一定是主設(shè)備D系統(tǒng)中只允許有一條PCI總線22 CRT的分辨率為10241024像素,像素的顏色數(shù)為256,則刷新存儲(chǔ)器的容量為(B )A512KBB1MBC256KBD2MB23為了便于實(shí)現(xiàn)多級(jí)中斷,保存現(xiàn)場(chǎng)信息最有效的辦法是采用(B )。A通用寄存器B堆棧C存儲(chǔ)器D外存24特權(quán)指令是由(C )執(zhí)行的機(jī)器指令。A中斷程序B用戶程序C操作系統(tǒng)核心程序DI/O程序25虛擬存儲(chǔ)技術(shù)主要解決存儲(chǔ)器的(B )問(wèn)題。A速度B擴(kuò)大存儲(chǔ)容量C成本D前三者兼顧26引入多道程序的目的在于(A )。A充分利用CPU,減少等待CPU時(shí)間B提高實(shí)時(shí)響應(yīng)速度C有利于代碼共享,減少主輔存信息交換量D充分利用存儲(chǔ)器27下列數(shù)中最小的數(shù)是(C )A(101001)2B(52)8C(101001)BCDD(233)1628某DRAM芯片,其存儲(chǔ)容量為5128位,該芯片的地址線和數(shù)據(jù)線的數(shù)目是(D )。A8,512B512,8C18,8D19,829在下面描述的匯編語(yǔ)言基本概念中,不正確的表述是(D )。A對(duì)程序員的訓(xùn)練要求來(lái)說(shuō),需要硬件知識(shí)B匯編語(yǔ)言對(duì)機(jī)器的依賴性高C用匯編語(yǔ)言編寫程序的難度比高級(jí)語(yǔ)言小D匯編語(yǔ)言編寫的程序執(zhí)行速度比高級(jí)語(yǔ)言慢30交叉存儲(chǔ)器實(shí)質(zhì)上是一種多模塊存儲(chǔ)器,它用(A )方式執(zhí)行多個(gè)獨(dú)立的讀寫操作。A流水B資源重復(fù)C順序D資源共享31寄存器間接尋址方式中,操作數(shù)在(B )。A通用寄存器B主存單元C程序計(jì)數(shù)器D堆棧32機(jī)器指令與微指令之間的關(guān)系是(A )。A用若干條微指令實(shí)現(xiàn)一條機(jī)器指令B用若干條機(jī)器指令實(shí)現(xiàn)一條微指令C用一條微指令實(shí)現(xiàn)一條機(jī)器指令D用一條機(jī)器指令實(shí)現(xiàn)一條微指令33描述多媒體CPU基本概念中,不正確的是(CD )。A多媒體CPU是帶有MMX技術(shù)的處理器BMMX是一種多媒體擴(kuò)展結(jié)構(gòu)CMMX指令集是一種多指令流多數(shù)據(jù)流的并行處理指令D多媒體CPU是以超標(biāo)量結(jié)構(gòu)為基礎(chǔ)的CISC機(jī)器34在集中式總線仲裁中,(A )方式對(duì)電路故障最敏感。A菊花鏈B獨(dú)立請(qǐng)求C計(jì)數(shù)器定時(shí)查詢D35流水線中造成控制相關(guān)的原因是執(zhí)行(A )指令而引起。A條件轉(zhuǎn)移B訪內(nèi)C算邏D無(wú)條件轉(zhuǎn)移36 PCI總線是一個(gè)高帶寬且與處理器無(wú)關(guān)的標(biāo)準(zhǔn)總線。下面描述中不正確的是(B )。A采用同步定時(shí)協(xié)議B采用分布式仲裁策略C具有自動(dòng)配置能力D適合于低成本的小系統(tǒng)37下面陳述中,不屬于外圍設(shè)備三個(gè)基本組成部分的是(D )。A存儲(chǔ)介質(zhì)B驅(qū)動(dòng)裝置C控制電路D計(jì)數(shù)器38中斷處理過(guò)程中,(B )項(xiàng)是由硬件完成。A關(guān)中斷B開中斷C保存CPU現(xiàn)場(chǎng)D恢復(fù)CPU現(xiàn)場(chǎng)39 IEEE1394是一種高速串行I/O標(biāo)準(zhǔn)接口。以下選項(xiàng)中,(D )項(xiàng)不屬于IEEE1394的協(xié)議集。A業(yè)務(wù)層B鏈路層C物理層D串行總線管理40運(yùn)算器的核心功能部件是(B )。A數(shù)據(jù)總線BALUC狀態(tài)條件寄存器D通用寄存器41某單片機(jī)字長(zhǎng)32位,其存儲(chǔ)容量為4MB。若按字編址,它的尋址范圍是(A )。A1MB4MBC4MD1MB42某SRAM芯片,其容量為1M8位,除電源和接地端外,控制端有E和R/W#,該芯片的管腳引出線數(shù)目是(D )。A20B28C30D3243雙端口存儲(chǔ)器所以能進(jìn)行高速讀/寫操作,是因?yàn)椴捎茫― )。A高速芯片B新型器件C流水技術(shù)D兩套相互獨(dú)立的讀寫電路44單地址指令中為了完成兩個(gè)數(shù)的算術(shù)運(yùn)算,除地址碼指明的一個(gè)操作數(shù)以外,另一個(gè)數(shù)常需采用(C )。A堆棧尋址方式B立即尋址方式C隱含尋址方式D間接尋址方式45為確定下一條微指令的地址,通常采用斷定方式,其基本思想是(C )。A用程序計(jì)數(shù)器PC來(lái)產(chǎn)生后繼微指令地址B用微程序計(jì)數(shù)器PC來(lái)產(chǎn)生后繼微指令地址C通過(guò)微指令順序控制字段由設(shè)計(jì)者指定或由設(shè)計(jì)者指定的判別字段控制產(chǎn)生后繼微指令地址D通過(guò)指令中指定一個(gè)專門字段來(lái)控制產(chǎn)生后繼微指令地址二、填空題 1 字符信息是符號(hào)數(shù)據(jù),屬于處理(非數(shù)值 )領(lǐng)域的問(wèn)題,國(guó)際上采用的字符系統(tǒng)是七單位的(ASCII)碼。P23 2 按IEEE754標(biāo)準(zhǔn),一個(gè)32位浮點(diǎn)數(shù)由符號(hào)位S(1位)、階碼E(8位)、尾數(shù)M(23位)三個(gè)域組成。其中階碼E的值等于指數(shù)的真值(e )加上一個(gè)固定的偏移值(127 )。P17 3 雙端口存儲(chǔ)器和多模塊交叉存儲(chǔ)器屬于并行存儲(chǔ)器結(jié)構(gòu),其中前者采用(空間 )并行技術(shù),后者采用(時(shí)間 )并行技術(shù)。P864 衡量總線性能的重要指標(biāo)是(總線帶寬 ),它定義為總線本身所能達(dá)到的最高傳輸速率,單位是兆字節(jié)每秒(MB/s )。P186 5 在計(jì)算機(jī)術(shù)語(yǔ)中,將ALU控制器和( cache )存儲(chǔ)器合在一起稱為( CPU )。P139 6 數(shù)的真值變成機(jī)器碼可采用原碼表示法,反碼表示法,(補(bǔ)碼 )表示法,(移碼 )表示法。P19 - P21 7 廣泛使用的(SRAM )和(DRAM )都是半導(dǎo)體隨機(jī)讀寫存儲(chǔ)器。前者的速度比后者快,但集成度不如后者高。P66 8 反映主存速度指標(biāo)的三個(gè)術(shù)語(yǔ)是存取時(shí)間、(存儲(chǔ)周期)和(存儲(chǔ)器帶寬)。P66 9 形成指令地址的方法稱為指令尋址,通常是(順序)尋址,遇到轉(zhuǎn)移指令時(shí)(跳躍)尋址。P123 10 CPU從(主存中)取出一條指令并執(zhí)行這條指令的時(shí)間和稱為(指令周期)。11 定點(diǎn)32位字長(zhǎng)的字,采用2的補(bǔ)碼形式表示時(shí),一個(gè)字所能表示的整數(shù)范圍是(-2的31次方到2的31次方減1 )。P20 12 IEEE754標(biāo)準(zhǔn)規(guī)定的64位浮點(diǎn)數(shù)格式中,符號(hào)位為1位,階碼為11位,尾數(shù)為52位,則它能表示的最大規(guī)格化正數(shù)為(+1+(1-)。P18 ? 13浮點(diǎn)加、減法運(yùn)算的步驟是( 0 操作處理 )、( 比較階碼大小并完成對(duì)階 )、(尾數(shù)進(jìn)行加或減運(yùn)算 )、(結(jié)果規(guī)格化并進(jìn)行舍入處理 )、( 溢出處理 )。P52 14某計(jì)算機(jī)字長(zhǎng)32位,其存儲(chǔ)容量為64MB,若按字編址,它的存儲(chǔ)系統(tǒng)的地址線至少需要( 14)條。KB=2048KB(尋址范圍)=20482 15一個(gè)組相聯(lián)映射的Cache,有128塊,每組4塊,主存共有16384塊,每塊64個(gè)字,則主存地址共( 20 )位,其中主存字塊標(biāo)記應(yīng)為(8 )位,組地址應(yīng)為(6 )位,Cache地址共(7 )位。=16384字 2= 2= 2=128 16 CPU存取出一條指令并執(zhí)行該指令的時(shí)間叫(指令周期 ),它通常包含若干個(gè)( CPU周期 ),而后者又包含若干個(gè)( 時(shí)鐘周期 )。P13117計(jì)算機(jī)系統(tǒng)的層次結(jié)構(gòu)從下至上可分為五級(jí),即微程序設(shè)計(jì)級(jí)(或邏輯電路級(jí))、一般機(jī)器級(jí)、操作系統(tǒng)級(jí)、(匯編語(yǔ)言)級(jí)、(高級(jí)語(yǔ)言)級(jí)。P13 18十進(jìn)制數(shù)在計(jì)算機(jī)內(nèi)有兩種表示形式:(字符串)形式和(壓縮的十進(jìn)制數(shù)串)形式。前者主要用在非數(shù)值計(jì)算的應(yīng)用領(lǐng)域,后者用于直接完成十進(jìn)制數(shù)的算術(shù)運(yùn)算。P19 19一個(gè)定點(diǎn)數(shù)由符號(hào)位和數(shù)值域兩部分組成。按小數(shù)點(diǎn)位置不同,定點(diǎn)數(shù)有(純小數(shù) )和(純整數(shù) )兩種表示方法。P16 20對(duì)存儲(chǔ)器的要求是容量大、速度快、成本低,為了解決這三方面的矛盾,計(jì)算機(jī)采用多級(jí)存儲(chǔ)體系結(jié)構(gòu),即(高速緩沖存儲(chǔ)器 )、(主存儲(chǔ)器 )、(外存儲(chǔ)器 )。P66 21高級(jí)的DRAM芯片增強(qiáng)了基本DRAM的功能,存取周期縮短至20ns以下。舉出三種高級(jí)DRAM芯片,它們是(FPM-DRAM )、(CDRAM )、(SDRAM)。P75 22一個(gè)較完善的指令系統(tǒng),應(yīng)當(dāng)有(數(shù)據(jù)處理)、(數(shù)據(jù)存儲(chǔ) )、(數(shù)據(jù)傳送 )、(程序控制 )四大類指令。P119 23機(jī)器指令對(duì)四種類型的數(shù)據(jù)進(jìn)行操作。這四種數(shù)據(jù)類型包括(地址 )型數(shù)據(jù)、(數(shù)值 )型數(shù)據(jù)、(字符 )型數(shù)據(jù)、(邏輯 )型數(shù)據(jù)。P110 24 CPU中保存當(dāng)前正在執(zhí)行的指令的寄存器是(指令寄存器 ),指示下一條指令地址的寄存器是(程序寄存器 ),保存算術(shù)邏輯運(yùn)算結(jié)果的寄存器是(數(shù)據(jù)緩沖寄沖器 )和(狀態(tài)字寄存器 )。P12925 數(shù)的真值變成機(jī)器碼時(shí)有四種表示方法,即(原碼 )表示法,(補(bǔ)碼 )表示法,(移碼 )表示法,(反碼 )表示法。P19 - P21 26主存儲(chǔ)器的技術(shù)指標(biāo)有(存儲(chǔ)容量 ),(存取時(shí)間 ),(存儲(chǔ)周期 ),(存儲(chǔ)器帶寬 )。P6727 cache和主存構(gòu)成了(內(nèi)存儲(chǔ)器 ),全由(CPU )來(lái)實(shí)現(xiàn)。P66 31接使用西文鍵盤輸入漢字,進(jìn)行處理,并顯示打印漢字,要解決漢字的(輸入編碼 )、(漢字內(nèi)碼 )和(字模碼 )三種不同用途的編碼。P24三、簡(jiǎn)答題1 假設(shè)主存容量16M32位,Cache容量64K32位,主存與Cache之間以每塊432位大小傳送數(shù)據(jù),請(qǐng)確定直接映射方式的有關(guān)參數(shù),并畫出內(nèi)存地址格式。解:64條指令需占用操作碼字段(OP)6位,源寄存器和目標(biāo)寄存器各4位,尋址模式(X)2位,形式地址(D)16位,其指令格式如下: 31 26 25 22 21 18 17 16 15 0OP目標(biāo)源XD尋址模式定義如下:X= 0 0 寄存器尋址 操作數(shù)由源寄存器號(hào)和目標(biāo)寄存器號(hào)指定X= 0 1 直接尋址 有效地址 E= (D)X= 1 0 變址尋址 有效地址 E= (Rx)D X= 1 1 相對(duì)尋址 有效地址 E=(PC)D 其中Rx為變址寄存器(10位),PC為程序計(jì)數(shù)器(20位),位移量D可正可負(fù)。該指令格式可以實(shí)現(xiàn)RR型,RS型尋址功能。2 指令和數(shù)據(jù)都用二進(jìn)制代碼存放在內(nèi)存中,從時(shí)空觀角度回答CPU如何區(qū)分讀出的代碼是指令還是數(shù)據(jù)。解:計(jì)算機(jī)可以從時(shí)間和空間兩方面來(lái)區(qū)分指令和數(shù)據(jù),在時(shí)間上,取指周期從內(nèi)存中取出的是指令,而執(zhí)行周期從內(nèi)存取出或往內(nèi)存中寫入的是數(shù)據(jù),在空間上,從內(nèi)存中取出指令送控制器,而執(zhí)行周期從內(nèi)存從取的數(shù)據(jù)送運(yùn)算器、往內(nèi)存寫入的數(shù)據(jù)也是來(lái)自于運(yùn)算器。4 用定量分析方法證明多模塊交叉存儲(chǔ)器帶寬大于順序存儲(chǔ)器帶寬。證明:假設(shè) (1)存儲(chǔ)器模塊字長(zhǎng)等于數(shù)據(jù)總線寬度 (2)模塊存取一個(gè)字的存儲(chǔ)周期等于T. (3)總線傳送周期為 (4)交叉存儲(chǔ)器的交叉模塊數(shù)為m.交叉存儲(chǔ)器為了實(shí)現(xiàn)流水線方式存儲(chǔ),即每通過(guò)時(shí)間延遲后啟動(dòng)下一模快,應(yīng)滿足 T = m, (1)交叉存儲(chǔ)器要求其??鞌?shù)>=m,以保證啟動(dòng)某??旌蠼?jīng)過(guò)m時(shí)間后再次啟動(dòng)該模快時(shí),它的上次存取操作已經(jīng)完成。這樣連續(xù)讀取m個(gè)字所需要時(shí)間為t1 = T + (m 1) = m + m = (2m 1) (2)故交叉存儲(chǔ)器帶寬為W1 = 1/t1 = 1/(2m-1) (3)而順序方式存儲(chǔ)器連續(xù)讀取m個(gè)字所需時(shí)間為 t2 = mT = m2 (4)存儲(chǔ)器帶寬為W2 = 1/t2 = 1/m2 (5)比較(3)和(2)式可知,交叉存儲(chǔ)器帶寬> 順序存儲(chǔ)器帶寬。10 列表比較CISC處理機(jī)和RISC處理機(jī)的特點(diǎn)。比較內(nèi)容CISCRISC指令系統(tǒng)復(fù)雜、龐大簡(jiǎn)單、精簡(jiǎn)指令數(shù)目一般大于200一般小于100指令格式一般大于4一般小于4尋址方式一般大于4一般小于4指令字長(zhǎng)不固定等長(zhǎng)可訪存指令不加限定只有LOAD/STORE指令各種指令使用頻率相差很大相差不大各種指令執(zhí)行時(shí)間相差很大絕大多數(shù)在一個(gè)周期內(nèi)完成優(yōu)化編譯實(shí)現(xiàn)很難較容易程序源代碼長(zhǎng)度較短較長(zhǎng)控制器實(shí)現(xiàn)方式絕大多數(shù)為微程序控制絕大部分為硬布線控制軟件系統(tǒng)開發(fā)時(shí)間較短較長(zhǎng)11 設(shè)存儲(chǔ)器容量為128M字,字長(zhǎng)64位,模塊數(shù)m=8,分別用順序方式和交叉方式進(jìn)行組織。存儲(chǔ)周期T=200ns,數(shù)據(jù)總線寬度為64位,總線傳送周期=50ns。問(wèn)順序存儲(chǔ)器和交叉存儲(chǔ)器的帶寬各是多少?15 PCI總線中三種橋的名稱是什么?簡(jiǎn)述其功能。解:PCI總線有三種橋,即HOST / PCI橋(簡(jiǎn)稱HOST橋),PCI / PCI橋,PCI / LAGACY橋。在PCI總線體系結(jié)構(gòu)中,橋起著重要作用:(1) 它連接兩條總線,使總線間相互通信。(2) 橋是一個(gè)總線轉(zhuǎn)換部件,可以把一條總線的地址空間映射到另一條總線的地址空間上,從而使系統(tǒng)中任意一個(gè)總線主設(shè)備都能看到同樣的一份地址表。(3) 利用橋可以實(shí)現(xiàn)總線間的猝發(fā)式傳送。17 畫圖說(shuō)明現(xiàn)代計(jì)算機(jī)系統(tǒng)的層次結(jié)構(gòu)。P13-145級(jí)高級(jí)語(yǔ)言級(jí)編譯程序4級(jí)匯編語(yǔ)言級(jí)匯編程序3級(jí)操作系統(tǒng)級(jí)操作系統(tǒng)2級(jí)一般機(jī)器級(jí)微程序1級(jí)微程序設(shè)計(jì)級(jí)直接由硬件執(zhí)行18 CPU中有哪幾類主要寄存器?用一句話回答其功能。解:A,數(shù)據(jù)緩沖寄存器(DR);B,指令寄存器(IR);C,程序計(jì)算器PC;D,數(shù)據(jù)地址寄存器(AR);通用寄存器(R0R3);F,狀態(tài)字寄存器(PSW)24 簡(jiǎn)要總結(jié)一下,采用哪幾種技術(shù)手段可以加快存儲(chǔ)系統(tǒng)的訪問(wèn)速度??jī)?nèi)存采用更高速的技術(shù)手段,采用雙端口存儲(chǔ)器,采用多模交叉存儲(chǔ)器 25 求證:-y補(bǔ)=-y補(bǔ) (mod 2n+1)證明:因?yàn)閤-y補(bǔ)=x補(bǔ)-y補(bǔ)=x補(bǔ)+-y補(bǔ) 又因?yàn)閤+y補(bǔ)= x補(bǔ)+y補(bǔ)(mod 2 n+1) 所以y補(bǔ)=x+y補(bǔ)-x補(bǔ) 又x-y補(bǔ)=x+(-y)補(bǔ)=x補(bǔ)+-y補(bǔ) 所以-y補(bǔ)=x-y補(bǔ)-x補(bǔ) y補(bǔ)+-y補(bǔ)= x+y補(bǔ)+x-y補(bǔ)-x補(bǔ)-x補(bǔ)=0 故-y補(bǔ)=-y補(bǔ) (mod 2n+1)29 設(shè)由S,E,M三個(gè)域組成的一個(gè)32位二進(jìn)制字所表示的非零規(guī)格化數(shù)x,真值表示為 x(-1)s(1.M)2E-127問(wèn):它所能表示的規(guī)格化最大正數(shù)、最小正數(shù)、最大負(fù)數(shù)、最小負(fù)數(shù)是多少?解:()最大正數(shù) ()最小正數(shù)011 111 111111 111 111 111 111 111 111 11000 000 000000 000 000 000 000 000 000 00X=1.02-128 X = 1+(1-2-23)2127 ()最大負(fù)數(shù)00 000 000000 000 000 000 000 000 000 00X=-1.02-128 ()最小負(fù)數(shù)111 111 11111 111 111 111 111 111 111 11 X= -1+(1-2-23)2127 30 畫出單級(jí)中斷處理過(guò)程流程圖(含指令周期)。35 寫出下表尋址方式中操作數(shù)有效地址E的算法。序號(hào)尋址方式名稱有效地址E說(shuō)明1立即A操作數(shù)在指令中2寄存器Ri操作數(shù)在某通用寄存器Ri中3直接DD為偏移量4寄存器間接(Ri)(Ri)為主存地址指示器5基址(B)B為基址寄存器6基址偏移量(B) + D7比例變址偏移量(I) *S+ DI為變址寄存器,S比例因子8基址變址偏移量(B) + (I) +D9基址比例變址偏移量(B)+(I)*S+D10相對(duì)(PC)+DPC為程序計(jì)數(shù)器40 為什么在計(jì)算機(jī)系統(tǒng)中引入DMA方式來(lái)交換數(shù)據(jù)?若使用總線周期挪用方式,DMA控制器占用總線進(jìn)行數(shù)據(jù)交換期間,CPU處于何種狀態(tài)?P253 、254為了減輕cpu對(duì)I/O操作的控制,使得cpu的效率有了提高。可能遇到兩種情況:一種是此時(shí)CPU不需要訪內(nèi),如CPU正在執(zhí)行乘法命令;另一種情況是,I/O設(shè)備訪內(nèi)優(yōu)先,因?yàn)镮/O訪內(nèi)有時(shí)間要求,前一個(gè)I/O數(shù)據(jù)必須在下一個(gè)訪內(nèi)請(qǐng)求到來(lái)之前存取完畢。41 何謂指令周期?CPU周期?時(shí)鐘周期?它們之間是什么關(guān)系?指令周期是執(zhí)行一條指令所需要的時(shí)間,一般由若干個(gè)機(jī)器周期組成,是從取指令、分析指令到執(zhí)行完所需的全部時(shí)間。CPU周期又稱機(jī)器周期,CPU訪問(wèn)一次內(nèi)存所花的時(shí)間較長(zhǎng),因此用從內(nèi)存讀取一條指令字的最短時(shí)間來(lái)定義。一個(gè)指令周期常由若干CPU周期構(gòu)成時(shí)鐘周期是由CPU時(shí)鐘定義的定長(zhǎng)時(shí)間間隔,是CPU工作的最小時(shí)間單位,也稱節(jié)拍脈沖或T周期47 比較cache與虛存的相同點(diǎn)和不同點(diǎn)。相同點(diǎn):(1)出發(fā)點(diǎn)相同;都是為了提高存儲(chǔ)系統(tǒng)的性能價(jià)格比而構(gòu)造的分層存儲(chǔ)體系。(2)原理相同;都是利用了程序運(yùn)行時(shí)的局部性原理把最近常用的信息塊從相對(duì)慢速而大容量的存儲(chǔ)器調(diào)入相對(duì)高速而小容量的存儲(chǔ)器.不同點(diǎn):(1)側(cè)重點(diǎn)不同;cache主要解決主存和CPU的速度差異問(wèn)題;虛存主要是解決存儲(chǔ)容量問(wèn)題。(2)數(shù)據(jù)通路不同;CPU與cache、主存間有直接通路;而虛存需依賴輔存,它與CPU間無(wú)直接通路。(3)透明性不同;cache對(duì)系統(tǒng)程序員和應(yīng)用程序員都透明;而虛存只對(duì)應(yīng)用程序員透明。(4)未命名時(shí)的損失不同;主存未命中時(shí)系統(tǒng)的性能損失要遠(yuǎn)大于cache未命中時(shí)的損失。48 設(shè)N補(bǔ)=anan-1a1a0,其中an是符號(hào)位。證明:當(dāng)N0,an=0, 真值N=N補(bǔ)= an-1a1a0= 當(dāng)N0,an =1,N補(bǔ)=1 an-1a1a0 依補(bǔ)碼的定義, 真值 N= N補(bǔ)2(n+1)= anan-1a1a02(n+1)= 綜合以上結(jié)果有 3 設(shè)x=-18,y=+26,數(shù)據(jù)用補(bǔ)碼表示,用帶求補(bǔ)器的陣列乘法器求出乘積xy,并用十進(jìn)制數(shù)乘法進(jìn)行驗(yàn)證。解:符號(hào)位單獨(dú)考慮:X為正符號(hào)用二進(jìn)制表示為 0 ,Y為負(fù)值符號(hào)用 1 表示。【X】補(bǔ) = 101110 【Y】補(bǔ) = 011010 兩者做乘法 1 0 0 1 0 x 1 1 0 1 0 - 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 01 0 0 1 0 - 1 1 1 0 1 0 1 0 0結(jié)果化為10進(jìn)制就是468 符號(hào)位進(jìn)行異或操作 0異或1得 1 所以二進(jìn)制結(jié)果為 1 1 1 1 0 1 0 1 0 0化為十進(jìn)制就是 -468十進(jìn)制檢驗(yàn): -18 x26= -4685 圖1所示的系統(tǒng)中,A、B、C、D四個(gè)設(shè)備構(gòu)成單級(jí)中斷結(jié)構(gòu),它要求CPU在執(zhí)行完當(dāng)前指令時(shí)轉(zhuǎn)向?qū)χ袛嗾?qǐng)求進(jìn)行服務(wù)?,F(xiàn)假設(shè): TDC為查詢鏈中每個(gè)設(shè)備的延遲時(shí)間; TA、TB、TC、TD分別為設(shè)備A、B、C、D的服務(wù)程序所需的執(zhí)行時(shí)間; TS、TR分別為保存現(xiàn)場(chǎng)和恢復(fù)現(xiàn)場(chǎng)所需的時(shí)間; 主存工作周期為TM; 中斷批準(zhǔn)機(jī)構(gòu)在確認(rèn)一個(gè)新中斷之前,先要讓即將被中斷的程序的一條指令執(zhí)行完畢。試問(wèn):在確保請(qǐng)求服務(wù)的四個(gè)設(shè)備都不會(huì)丟失信息的條件下,中斷飽和的最小時(shí)間是多少?中斷極限頻率是多少?解:假設(shè)主存工作周期為TM,執(zhí)行一條指令的時(shí)間也設(shè)為TM 。則中斷處理過(guò)程和各時(shí)間段如圖B17.3所示。當(dāng)三個(gè)設(shè)備同時(shí)發(fā)出中斷請(qǐng)求時(shí),依次處理設(shè)備A、B、C的時(shí)間如下: tA = 2TM +3TDC + TS + TA + TR (下標(biāo)分別為A,M,DC,S,A,R) tB = 2TM +2TDC + TS + TB+ TR (下標(biāo)分別為B,M,DC,S,B,R)tC = 2TM + TDC + TS + TC + TR (下標(biāo)分別為C,M,DC,S,C,R)達(dá)到中斷飽和的時(shí)間為: T = tA + tB + tC 中斷極限頻率為:f = 1 / T 6 某計(jì)算機(jī)有圖2所示的功能部件,其中M為主存,指令和數(shù)據(jù)均存放在其中,MDR為主存數(shù)據(jù)寄存器,MAR為主存地址寄存器,R0R3為通用寄存器,IR為指令寄存器,PC為程序計(jì)數(shù)器(具有自動(dòng)加1功能),C、D為暫存寄存器,ALU為算術(shù)邏輯單元,移位器可左移、右移、直通傳送。(1)將所有功能部件連接起來(lái),組成完整的數(shù)據(jù)通路,并用單向或雙向箭頭表示信息傳送方向。(2)畫出“ADD R1,(R2)”指令周期流程圖。該指令的含義是將R1中的數(shù)與(R2)指示的主存單元中的數(shù)相加,相加的結(jié)果直通傳送至R1中。(3)若另外增加一個(gè)指令存貯器,修改數(shù)據(jù)通路,畫出的指令周期流程圖。解:(1)各功能部件聯(lián)結(jié)成如圖所示數(shù)據(jù)通路:移位器移位器DCPCaIRR3R2R1R0MARMMDRALU-+1(2)此指令為RS型指令,一個(gè)操作數(shù)在R1中,另一個(gè)操作數(shù)在R2為地址的內(nèi)存單元中,相加結(jié)果放在R1中。 (R2)MARMMDRD (C)+(D)R1 (PC) MARMMDRIR,(PC)+ 1(R1)C譯碼送當(dāng)前指令地址到MAR取當(dāng)前指令到IR,PC+1,為取下條指令做好準(zhǔn)備 取R1操作數(shù)C暫存器。R2中的內(nèi)容是內(nèi)存地址從內(nèi)存取出數(shù)D暫存器暫存器C和D中的數(shù)相加后送R1 7 參見(jiàn)圖1,這是一個(gè)二維中斷系統(tǒng),請(qǐng)問(wèn): 在中斷情況下,CPU和設(shè)備的優(yōu)先級(jí)如何考慮?請(qǐng)按降序排列各設(shè)備的中斷優(yōu)先級(jí)。 若CPU現(xiàn)執(zhí)行設(shè)備C的中斷服務(wù)程序,IM2,IM1,IM0的狀態(tài)是什么?如果CPU執(zhí)行設(shè)備H的中斷服務(wù)程序,IM2,IM1,IM0的狀態(tài)又是什么? 每一級(jí)的IM能否對(duì)某個(gè)優(yōu)先級(jí)的個(gè)別設(shè)備單獨(dú)進(jìn)行屏蔽?如果不能,采取什么方法可達(dá)到目的? 若設(shè)備C一提出中斷請(qǐng)求,CPU立即進(jìn)行響應(yīng),如何調(diào)整才能滿足此要求?解: (1)在中斷情況下,CPU的優(yōu)先級(jí)最低。各設(shè)備優(yōu)先級(jí)次序是:A-B-C-D-E-F-G-H-I-CPU(2)執(zhí)行設(shè)備B的中斷服務(wù)程序時(shí)IM0IM1IM2=111;執(zhí)行設(shè)備D的中斷服務(wù)程序時(shí)IM0IM1IM2=011。(3)每一級(jí)的IM標(biāo)志不能對(duì)某優(yōu)先級(jí)的個(gè)別設(shè)備進(jìn)行單獨(dú)屏蔽??蓪⒔涌谥械腂I(中斷允許)標(biāo)志清“0”,它禁止設(shè)備發(fā)出中斷請(qǐng)求。(4)要使C的中斷請(qǐng)求及時(shí)得到響應(yīng),可將C從第二級(jí)取出,單獨(dú)放在第三級(jí)上,使第三級(jí)的優(yōu)先級(jí)最高,即令I(lǐng)M3=0即可 。8 已知x=-001111,y=+011001,求: x補(bǔ),-x補(bǔ),y補(bǔ),-y補(bǔ); x+y,x-y,判斷加減運(yùn)算是否溢出。解: x原=100111 x補(bǔ)=1110001 -x補(bǔ)=0001111y原=0011001 y補(bǔ)=0011001 -y補(bǔ)=110011108X+y=0001010 x-y=101100013 機(jī)器字長(zhǎng)32位,常規(guī)設(shè)計(jì)的物理存儲(chǔ)空間32M,若將物理存儲(chǔ)空間擴(kuò)展到256M,請(qǐng)?zhí)岢鲆环N設(shè)計(jì)方案。解:用多體交叉存取方案,即將主存分成8個(gè)相互獨(dú)立、容量相同的模塊M0,M1,M2,M7,每個(gè)模塊32M32位。它們各自具備一套地址寄存器、數(shù)據(jù)緩沖器,各自以等同的方式與CPU傳遞信息,其組成如圖 12 有兩個(gè)浮點(diǎn)數(shù)N1=2j1S1,N2=2j2S2,其中階碼用4位移碼、尾數(shù)用8位原碼表示(含1位符號(hào)位)。設(shè)j1=(11)2,S1=(+0.0110011)2,j2=(-10)2,S2=(+0.1101101)2,求N1+N2,寫出運(yùn)算步驟及結(jié)果。解: (1)浮點(diǎn)乘法規(guī)則: N1 N2 =( 2j1 S1) (2j2 S2) = 2(j1+j2) (S1S2)(2)碼求和: j1 + j2 = 0(3)尾數(shù)相乘: 被乘數(shù)S1 =0.1001,令乘數(shù)S2 = 0.1011,尾數(shù)絕對(duì)值相乘得積的絕對(duì)值,積的符號(hào)位 = 00 = 0。按無(wú)符號(hào)陣乘法器運(yùn)算得:N1 N2 = 200.01100011 (4)尾數(shù)規(guī)格化、舍入(尾數(shù)四位) N1 N2 = (+ 0.01100011)2 = (+0.1100)22(-01)2 9 圖2所示為雙總線結(jié)構(gòu)機(jī)器的數(shù)據(jù)通路,IR為指令寄存器,PC為程序計(jì)數(shù)器(具有自增功能),M為主存(受R/W#信號(hào)控制),AR為地址寄存器,DR為數(shù)據(jù)緩沖寄存器,ALU由加、減控制信號(hào)決定完成何種操作,控制信號(hào)G控制的是一個(gè)門電路。另外,線上標(biāo)注有小圈表示有控制信號(hào),例中yi表示y寄存器的輸入控制信號(hào),R1o為寄存器R1的輸出控制信號(hào),未標(biāo)字符的線為直通線,不受控制。 “ADDR2,R0”指令完成(R0)+(R2)R0的功能操作,畫出其指令周期流程圖,假設(shè)該指令的地址已放入PC中。并在流程圖每一個(gè)CPU周期右邊列出相應(yīng)的微操作控制信號(hào)序列。 若將(取指周期)縮短為一個(gè)CPU周期,請(qǐng)先畫出修改數(shù)據(jù)通路,然后畫出指令周期流程圖。解:(1)“ADDR2,R0”指令是一條加法指令,參與運(yùn)算的兩個(gè)數(shù)放在寄存器R2和R0中,指令周期流程圖包括取指令階段和執(zhí)行指令階段兩部分(為簡(jiǎn)單起見(jiàn),省去了“”號(hào)左邊各寄存器代碼上應(yīng)加的括號(hào))。根據(jù)給定的數(shù)據(jù)通路圖,“ADDR2,R0”指令的詳細(xì)指令周期流程圖下如圖a所示,圖的右邊部分標(biāo)注了每一個(gè)機(jī)器周期中用到的微操作控制信號(hào)序列。(2)SUB減法指令周期流程圖見(jiàn)下圖b所示。14 某機(jī)的指令格式如下所示X為尋址特征位:X=00:直接尋址;X=01:用變址寄存器RX1尋址;X=10:用變址寄存器RX2尋址;X=11:相對(duì)尋址設(shè)(PC)=1234H,(RX1)=0037H,(RX2)=1122H(H代表十六進(jìn)制數(shù)),請(qǐng)確定下列指令中的有效地址:4420H 2244H 1322H 3521H解: 1)X=00 , D=20H ,有效地址E=20H 2) X=10 , D=44H ,有效地址E=1122H+44H=1166H 3) X=11 , D=22H ,有效地址E=1234H+22H=1256H 4) X=01 , D=21H ,有效地址E=0037H+21H=0058H 5)X=11 , D=23H ,有效地址 E=1234H+23H=1257H15 圖1為某機(jī)運(yùn)算器框圖,BUS1BUS3為3條總線,期于信號(hào)如a、h、LDR0LDR3、S0S3等均為電位或脈沖控制信號(hào)。 分析圖中哪些是相容微操作信號(hào)?哪些是相斥微操作信號(hào)? 采用微程序控制方式,請(qǐng)?jiān)O(shè)計(jì)微指令格式,并列出各控制字段的編碼表。解:1)相容微操作信號(hào)LRSN 相斥微操作信號(hào) a,b,c,d2)當(dāng)24個(gè)控制信號(hào)全部用微指令產(chǎn)生時(shí),可采用字段譯碼法進(jìn)行編碼控制,采用的微指令格式如下(其中目地操作數(shù)字段與打入信號(hào)段可結(jié)合并公用,后者加上節(jié)拍脈沖控制即可)。 3位 3位 5位 4位 3位 2位 X 目的操作數(shù) 源操作數(shù) 運(yùn)算操作 移動(dòng)操作 直接控制 判別 下址字段編碼表如下:目的操作數(shù)字段源操作數(shù)字段運(yùn)算操作字段移位門字段直接控制字段001 a, LDR0010 b, LDR1011 c, LDR2100 d, LDR3001 e010 f011 g100 hMS0S1S2S3L, R, S, Ni, j, +119 CPU執(zhí)行一段程序時(shí),cache完成存取的次數(shù)為2420次,主存完成的次數(shù)為80次,已知cache存儲(chǔ)周期為40ns,主存存儲(chǔ)周期為200ns,求cache/主存系統(tǒng)的效率和平均訪問(wèn)時(shí)間。P94例620 某機(jī)器單字長(zhǎng)指令為32位,共有40條指令,通用寄存器有128個(gè),主存最大尋址空間為64M。尋址方式有立即尋址、直接尋址、寄存器尋址、寄存器間接尋址、基值尋址、相對(duì)尋址六種。請(qǐng)?jiān)O(shè)計(jì)指令格式,并做必要說(shuō)明。21 一條機(jī)器指令的指令周期包括取指(IF)、譯碼(ID)、執(zhí)行(EX)、寫回(WB)四個(gè)過(guò)程段,每個(gè)過(guò)程段1個(gè)時(shí)鐘周期T完成。先段定機(jī)器指令采用以下三種方式執(zhí)行:非流水線(順序)方式,標(biāo)量流水線方式,超標(biāo)量流水線方式。請(qǐng)畫出三種方式的時(shí)空?qǐng)D,證明流水計(jì)算機(jī)比非流水計(jì)算機(jī)具有更高的吞吐率。P16322 CPU的數(shù)據(jù)通路如圖1所示。運(yùn)算器中R0R3為通用寄存器,DR為數(shù)據(jù)緩沖寄存器,PSW為狀態(tài)字寄存器。D-cache為數(shù)據(jù)存儲(chǔ)器,I-cache為指令存儲(chǔ)器,PC為程序計(jì)數(shù)器(具有加1功能),IR為指令寄存器。單線箭頭信號(hào)均為微操作控制信號(hào)(電位或脈沖),如LR0表示讀出R0寄存器,SR0表示寫入R0寄存器。機(jī)器指令“STO R1,(R2)”實(shí)現(xiàn)的功能是:將寄存器R1中的數(shù)據(jù)寫入到以(R2)為地址的數(shù)存單元中。請(qǐng)畫出該存數(shù)指令周期流程圖,并在CPU周期框外寫出所需的微操作控制信號(hào)。(一個(gè)CPU周期含T1T4四個(gè)時(shí)鐘信號(hào),寄存器打入信號(hào)必須注明時(shí)鐘序號(hào))27 某計(jì)算機(jī)的存儲(chǔ)系統(tǒng)由cache、主存和磁盤構(gòu)成。cache的訪問(wèn)時(shí)間為15ns;如果被訪問(wèn)的單元在主存中但不在cache中,需要用60ns的時(shí)間將其裝入cache,然后再進(jìn)行訪問(wèn);如果被訪問(wèn)的單元不在主存中,則需要10ms的時(shí)間將其從磁盤中讀入主存,然后再裝入cache中并開始訪問(wèn)。若cache的命中率為90%,主存的命中率為60%,求該系統(tǒng)中訪問(wèn)一個(gè)字的平均時(shí)間。解:ta=90%tc+10%*60%(tm+tc)+10%*40%(tk+tm+tc)(m表示未命中時(shí)的主存訪問(wèn)時(shí)間;c表示命中時(shí)的cache訪問(wèn)時(shí)間;k表示訪問(wèn)外存時(shí)間)28 圖1所示為雙總線結(jié)構(gòu)機(jī)器的數(shù)據(jù)通路,IR為指令寄存器,PC為程序計(jì)數(shù)器(具有自增功能),DM為數(shù)據(jù)存儲(chǔ)器(受信號(hào)控制),AR為地址寄存器,DR為數(shù)據(jù)緩沖寄存器,ALU由加、減控制信號(hào)決定完成何種操作,控制信號(hào)G控制的是一個(gè)門電路。另外,線上標(biāo)注有小圈表示有控制信號(hào),例中yi表示y寄存器的輸入控制信號(hào),R1o為寄存器R1的輸出控制信號(hào),未標(biāo)字符的線為直通線,不受控制。旁路器可視為三態(tài)門傳送通路。 “SUB R3,R0”指令完成的功能操作,畫出其指令周期流程圖,并列出相應(yīng)的微操作控制信號(hào)序列,假設(shè)該指令的地址已放入PC中。 若將“取指周期”縮短為一個(gè)CPU周期,請(qǐng)?jiān)趫D上先畫出改進(jìn)的數(shù)據(jù)通路,然后在畫出指令周期流程圖。此時(shí)SUB指令的指令周期是幾個(gè)CPU周期?與第種情況相比,減法指令速度提高幾倍?PCAR MDR R2 Y DRIR R0 X R0+ R2R0 取指執(zhí)行PCo,GR/W=1R2o,G DRo,GR0o,G+,G解:ADD指令是加法指令,參與運(yùn)算的二數(shù)放在R0和R2中,相加結(jié)果放在R0中。指令周期流程圖圖A3.3包括取指令階段和執(zhí)行指令階段兩部分。每一方框表示一個(gè)CPU周期。其中框內(nèi)表示數(shù)據(jù)傳送路徑,框外列出微操作控制信號(hào)。,流程圖見(jiàn)左31 某加法器進(jìn)位鏈小組信號(hào)為C4C3C2C1,低位來(lái)的進(jìn)位信號(hào)為C0,請(qǐng)分別按下述兩種方式寫出C4C3C2C1的邏輯表達(dá)式: 串行進(jìn)位方式 并行進(jìn)位方式解 : (1)串行進(jìn)位方式:C1 = G1 + P1 C0 其中: G1 = A1 B1 ,P1 = A1B1C2 = G2 + P2 C1 G2 = A2 B2 ,P2 = A2B2 C3 = G3 + P3 C2 G3 = A3 B3 , P3 = A3B3C4 = G4 + P4 C3 G4 = A4 B4 , P4 = A4B4 (2) 并行進(jìn)位方式:C1 = G1 + P1 C0 C2 = G2 + P2 G1 + P2 P1 C0C3 = G3 + P3 G2 + P3 P2 G1 + P3 P2 P1 C0C4 = G4 + P4 G3 + P4 P3 G2 + P4P3 P2 G1 + P4 P3 P2 P1 C0其中 G1G4 ,P1P4 表達(dá)式與串行進(jìn)位方式相同。36 設(shè)兩個(gè)浮點(diǎn)數(shù)N1=2j1S1,N2=2j2S2,其中階碼3位(移碼),尾數(shù)4位,數(shù)符1位。設(shè):j1=(-10)2,S1=(+0.1001)2j2=(+10)2,S2=(+0.1011)2求:N1N2,寫出運(yùn)算步驟及結(jié)果,積的尾數(shù)占4位,按原碼陣列乘法器計(jì)算步驟求尾數(shù)之積。解:因?yàn)閄+Y=2Ex(Sx+Sy) (Ex=Ey),所以求X+Y要經(jīng)過(guò)對(duì)階、尾數(shù)求和及規(guī)格化等步驟。(1) 對(duì)階: J=ExEY=(-10)2(+10)2=(-100)2 所以Ex<EY,則Sx右移4位,Ex+(100)2=(10)2=EY。SX右移四位后SX=0.00001001,經(jīng)過(guò)舍入后SX=0001,經(jīng)過(guò)對(duì)階、舍入后,X=2(10)2(0.0001)2(2) 尾數(shù)求和: SX+SY0 0001(SX) + 0. 1011(SY) 0. 1100 (SX+SY)結(jié)果為規(guī)格化數(shù)。所以: X+Y=2(10)2(SX+SY)=2(10)2(0.1100)2=(11.00)249 刷新存儲(chǔ)器(簡(jiǎn)稱刷存)的重要性能指標(biāo)是它的帶寬。實(shí)際工作中,顯示適配器的幾個(gè)功能部分要爭(zhēng)取刷存的帶寬。假設(shè)總帶寬50用于刷新屏幕,保留50帶寬用于其他非刷新功能。(1)若顯示工作方式采用分辨率為1024768,顏色深度為3Byte,刷新頻率為72Hz,計(jì)算刷存總帶寬應(yīng)為多少?(2)為達(dá)到這樣高的刷存帶寬,應(yīng)采取何種技術(shù)措施?解:(1)因?yàn)樗⑿滤鑾挿直媛拭總€(gè)像素點(diǎn)顏色深度刷新速率所以10247683B72/S = 165888 KB/S = 162 MB/S 刷新總帶寬應(yīng)為162MB/S100/50 = 324MB/S (2)為達(dá)到這樣高的刷存帶寬,可采取如下技術(shù)措施:使用高速DRAM芯片組成刷存刷存采用多體交叉結(jié)構(gòu)刷存至顯示控制器的內(nèi)部總線寬度由位提高到位,甚至位刷存采用雙端口存儲(chǔ)器,將刷新端口與更新端口分開。50 一盤組共11片,記錄面為20面,每面上外道直徑為14英寸,內(nèi)道直徑為10英寸,分203道。數(shù)據(jù)傳輸綠為983040B/S,磁盤轉(zhuǎn)速為3600轉(zhuǎn)/分。假定每個(gè)記錄塊記錄1024B,且系統(tǒng)可掛多達(dá)16臺(tái)這樣的磁盤,請(qǐng)給出適當(dāng)?shù)拇疟P地址格式,并計(jì)算盤組總的存儲(chǔ)容量。解:設(shè)數(shù)據(jù)傳輸率為C,每一磁道的容量為N,磁盤轉(zhuǎn)速為r,則根據(jù)公式C=Nr,可求得: N=C/r=983040(3600/60)=16384(字節(jié)) 扇區(qū)數(shù)=163841024=16 故表示磁盤地址格式的所有參數(shù)為:臺(tái)數(shù)16,記錄面20,磁道數(shù)203道,扇區(qū)數(shù)16,由此可得磁盤地址格式為: 20 17 16 9 8 4 3 0 臺(tái)號(hào) 柱面號(hào) 盤面號(hào) 扇區(qū)號(hào) 磁盤總存儲(chǔ)容量為: 162020316384=1064304640(字節(jié))45 圖1所示為傳送(MOV,OP碼IR0IR100)、加法(ADD,OP碼IR0IR101)、取反(COM,OP碼IR0IR110)、十進(jìn)制加法(ADT,OP碼IR0IR111)四條指令的微程序流程圖,每一框表示一個(gè)CPU周期。其中rs,rd為8個(gè)通用寄存器R0R7,每個(gè)CPU周期含4個(gè)時(shí)鐘脈沖T1T4。 設(shè)微指令的微命令字段為12位,判別字段和下址字段是多少位? 控制存儲(chǔ)器E2PROM存儲(chǔ)容量至少是多少? 給每條微指令分配一個(gè)確定的微地址(二進(jìn)制編碼表示)。 寫出微地址轉(zhuǎn)移邏輯表達(dá)式和轉(zhuǎn)移邏輯圖。 畫出微程序控制器結(jié)構(gòu)圖。解:(3)因EPROM容量為16單元,微地址寄存器4位即可,設(shè)為A3A0 七條微指令地址分配如下表所示,一條微指令只占一個(gè)微地址,(可直接填寫在流程圖右上角和右下角) 微指令序號(hào) 當(dāng)前微地址 下一微地址 1 0000 1000 2 1000 0000 3 1001 0000 4 1010 0000 5 1011 1111 6 1111 0000 7 0100 0000(2)從流程圖看出,P1處微程序出現(xiàn)四個(gè)分支,對(duì)應(yīng)4個(gè)微地址,用OP碼作為測(cè)試條件。P2處微程序出現(xiàn)2個(gè)分支,對(duì)應(yīng)2個(gè)微地址 微地址轉(zhuǎn)移邏輯表達(dá)式如下: A2=P2CjT4 A1=P1IR1T4 A0=P1IR0T4 其中IR1,IR0是指令類寄存器中存放操作碼的觸發(fā)器,T4表示某個(gè)節(jié)拍脈沖時(shí)修改微地址寄存器。(3)畫出邏輯圖如圖A9.5 Q Q Q Q Q Q Q Q uA3 uA2 uA1 uA0 D D D D T1 CM3 CM2 CM1

注意事項(xiàng)

本文(計(jì)算機(jī)組成原理白中英本科生試題庫(kù)整理附答案.doc)為本站會(huì)員(s****u)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!