歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOCX文檔下載  

《電子電路CAD》實驗指導(dǎo)書

  • 資源ID:154083096       資源大小:232.65KB        全文頁數(shù):20頁
  • 資源格式: DOCX        下載積分:17積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要17積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

《電子電路CAD》實驗指導(dǎo)書

實驗一 PROTELL 軟件的熟練使用.2 實驗二利用 PROTELL 進(jìn)行電路原理圖的繪制3實驗三利用 PROTELL 進(jìn)行印刷電路板圖的繪制5實驗四利用 PROTELL 進(jìn)行電路仿真.7實驗五用 EWB 對模擬電路進(jìn)行繪制與仿真分析.9實驗六用 EWB 對數(shù)字電路進(jìn)行仿真分析.10實驗七 用 CPLD 的圖形輸入方式進(jìn)行電路圖的繪制與波形仿真.12 實驗八 用 CPLD 的 VHDL 語言進(jìn)行電路圖的繪制與波形仿真.13 實驗九 液晶顯示設(shè)計實驗.15實驗一 PROTELL 軟件的熟練使用1實驗?zāi)康?)了解該軟件的操作界面組成。2)熟悉 Protel99 各菜單的功能和命令,熟練使用 PROTELL 軟件2、實驗要求1)熟悉其基本操作。2)掌握零件庫的掛卸和零件的查找等。3)掌握元器件的導(dǎo)線連接及走線方式。4)了解元器件的屬性如何設(shè)置。5)零器件的編輯3、實驗設(shè)備計算機(jī)一臺,PR0TELL99以上級仿真軟件一套裝。4、實驗步驟與內(nèi)容1)設(shè)計文件打開、關(guān)閉、刪除、恢復(fù)和改名。2)SCH 界面的啟動和認(rèn)識,熟悉 SCH 主菜單和主工具欄、畫原理圖工具欄等。3)掛接卸載元器件庫,主要掛接 Miscellaneous Devices.ddb、Protel Dos Schematic Libraries.ddb、Sim.ddb 等三個主要的零件庫。4 ) SCH環(huán)境參數(shù)及圖紙設(shè)置。主要設(shè)置圖紙尺寸、柵格設(shè)置、打開/關(guān)閉“自 動放置電氣節(jié)點”功能等。5)查找零件、取用零件與零件操作。用三種方法進(jìn)行零件的查找,比較他們 的不同;找到零件后,通過旋轉(zhuǎn)和翻轉(zhuǎn)來放置零件;放置零件后,對零件的操作 包括屬性,連續(xù)放置相同的器件,序號遞增;在放置小的集成芯片時,如 SN7400 IC 里含有四個與非門, 如何放置第一個單位零件或第二個單位零件等其他的零件.6)放置一個零件后,對零件進(jìn)行操作,包括圖件的點取、選取、復(fù)制和剪貼、 刪除等等操作命令的熟練掌握。7)放置零件后,應(yīng)將所有的零件連接起來。在連線的時候特別要注意的是連 接線路所用的具有電氣特性的導(dǎo)線,而不是一般線條,其次就是連接時,應(yīng)將器 件的接腳的端點連接起來。連接線路的命令是 place wire 或用工具按鈕,導(dǎo)線 的走線模式有五種,可以通過空格鍵來選擇不同的走線模式,同時還能通過改變 導(dǎo)線的屬性來選擇不同寬度和不同顏色的導(dǎo)線。8)根據(jù)電路的特點,在兩根導(dǎo)線產(chǎn)交叉處有兩種可能,一種是在此有節(jié)點, 一種是在此沒有實際的交叉,因此就應(yīng)該在此處放置或取消節(jié)點。9)在電路基本繪制完后,應(yīng)該放置電源符號與接地符號。通過命令place power port 來放置電源或接地符號。10)對線路的文字?jǐn)⑹?,則是通過放置及編輯網(wǎng)絡(luò)名稱來實現(xiàn)的。命令是 place net label .放置形式同放置元件一樣。11)選用一零件進(jìn)行零器件的編輯,或是新做一個零器件,并對其進(jìn)行屬性設(shè) 定.4、預(yù)習(xí)要求1)認(rèn)真復(fù)習(xí)知識要點所述內(nèi)容。2)熟悉軟件的界面內(nèi)容,以備上機(jī)時加快軟件的熟練程度。3)基本了解元器件屬性字段的意思。5、思考題1)在放置電源或接地時,它們是同一個命令,該如何選擇是放置電源或接地2)在使用復(fù)合包裝芯片時,該如何使用芯片里的單位零件。3)元器件的旋轉(zhuǎn)和翻轉(zhuǎn)分別通過什么功能鍵來實現(xiàn)。4)編輯一個新零件的步驟。實驗二 利用 PROTELL 進(jìn)行電路原理圖的繪制1、實驗?zāi)康?)學(xué)會用 Protell99 軟件繪制電路原理圖。2)了解數(shù)字電路的綜合應(yīng)用,包括振蕩電路,計數(shù)器,譯碼器,顯示電路 等。2、實驗要求1)按如圖所示繪制八路彩燈循環(huán)控制電路。(也可以別選其他的電路)2)熟練掌握 protell 99 原理圖的繪制。3)熟練掌握protell 99各元件參數(shù)的設(shè)置。4)熟練掌握 protell 99 網(wǎng)絡(luò)表的形成。3、實驗設(shè)備計算機(jī)一臺,PR0TELL99以上級仿真軟件一套裝。4、實驗步驟1)打開 Client99se 應(yīng)用程序;2)新建一個設(shè)計文件:在文件菜單中選擇新建設(shè)計,從所出現(xiàn)的對話框中 設(shè)置文件路徑(e:dudebing );3)在新件文件中選擇文件類型:在文件菜單中選擇新建文件,從所出現(xiàn)的 對話框中選擇所要求的文件類型(Schematic Document);4)在設(shè)計菜單中選擇添加/刪除元件庫,在所出現(xiàn)的對話框中選擇元件庫 文件(Miscellaneous Devices);5)參照圖21八路彩燈循環(huán)顯示控制電路進(jìn)行繪制,圖如下所示;6)進(jìn)行電氣規(guī)則檢查( ERC 檢查);7)保存文件,退出程序。5、預(yù)習(xí)要求1)理解圖所示電路的工作原理。2)統(tǒng)計圖所示電路的元件種類和個數(shù)。3)在設(shè)置屬性時,應(yīng)如何為印刷電路板的設(shè)計做準(zhǔn)備。6、思考題1)在繪制電路圖的過程中,生成網(wǎng)絡(luò)表出現(xiàn)錯誤時,檢查是圖中NE555的 管腳錯誤,我們該如何解決。2)在設(shè)置元器件的屬性時,應(yīng)設(shè)置哪能些參數(shù),特別是對元器件外形封裝 類型的選擇該如何設(shè)置。3)在設(shè)計中,如何產(chǎn)生元器件的明細(xì)報表,并在實驗報告中列出。U15R tDE匚THE6555:ClOJjlijfR1 1U1:R2 lul:- CLKQ1Q2RSTQ3 Q4Q5匚歸Q7Q8Q9Q10Q11Q12ElEE3-iV1-1-丁 4 ALE 1 昶:C2OJlHiif1 八路彩燈循環(huán)顯示控制電路acom實驗三利用 PROTELL 進(jìn)行印刷電路板圖的繪制1、實驗?zāi)康?)學(xué)會用Protell99軟件通過電路原理圖產(chǎn)生的網(wǎng)絡(luò)表繪制印刷電路板 圖。2)通過對網(wǎng)絡(luò)表的檢查,如何從網(wǎng)絡(luò)表的錯誤返回到SCH中對原理圖進(jìn) 行修改。2、實驗要求1)熟練掌握 protell 99 印刷電路板圖的繪制。(八路彩燈循環(huán)顯示控制 電路的電路板圖或其他的電路板圖)2)熟練掌握protell 99各元件的封裝的設(shè)置。3)熟練掌握protell 99自動布線。4)熟練掌握protell 99手動改線。3、實驗設(shè)備計算機(jī)一臺, PROTELL99 以上級仿真軟件一套裝。4、實驗步驟1)先使用SCH設(shè)計電路圖,確定元件排序沒有問題、元件封裝(footprint ) 也都定義了,再通過程序所提供的 ERC 檢查。2)利用 SCH 所提供的 creat netlist 功能產(chǎn)生網(wǎng)絡(luò)表,同時也暫時結(jié)束 了 SCH的任務(wù)。3)進(jìn)行PCB編輯器,首先定義板框,也就是板子的大小、板層數(shù)與形狀。 可利用程序所提供的板框向?qū)В蚯袚Q到keepout layer板層,以placetrack 命令,自行繪制板框。4)加載網(wǎng)絡(luò)表(可利用design etlist命令),網(wǎng)絡(luò)表(或電路圖) 如果錯誤,或接口上的問題,也將在此階段中出現(xiàn)。而產(chǎn)生錯誤時,也可以根據(jù) 錯誤所在,回到SCH修改電路圖,重頭再來。5)布置元件,這項工作是電路板設(shè)計中最基礎(chǔ)的工作,也是最麻煩的事。6)定義設(shè)計規(guī)則、自動布線廟宇及自動布線,如有末完成,則改以手工 修改。7)完成布線后,就存盤及輸出。5、預(yù)習(xí)要求1)預(yù)習(xí)板框和板層的設(shè)計2)熟習(xí)布線規(guī)則的定義以及如何排列元器件。3)熟習(xí)PCB環(huán)設(shè)計境以及如何建立設(shè)計文件。6、思考題1)在設(shè)計規(guī)則中分別定義雙面板和單面板兩種方式,進(jìn)行布線。2)在繪制電路板圖時,如果有三極管或二極管等器件時,該如何在網(wǎng)絡(luò) 表中作修改。3)在實驗報告中列出網(wǎng)絡(luò)表文件,列出布線規(guī)則的定義。參照下圖3-1所示的電路板圖。(單面板走線)1 1 J > 1 1 1 _LJ _LJ _LJ r- _LJ TJXJXJ ID1ID2心In4lo5ln6ln7InA LJJ LJJ LJJ LJJ cp Lp Lp LjJ 9U23-1 八路彩燈循環(huán)顯示控制電路印刷電路板圖實驗四 利用 PROTELL 進(jìn)行電路仿真1、實驗?zāi)康?)加深對共射極放大電路輸入、輸出特性的理解。2)熟練掌握電路仿真的應(yīng)用。2、實驗要求1)學(xué)習(xí)靜態(tài)工作點的測量和調(diào)試方法。2)熟練掌握protell 99原理圖的繪制。3)熟練掌握protell 99仿真參數(shù)的設(shè)置。4)觀察Rbl、Rb2、Rc對靜態(tài)工作點及交流放大特性的影響。3、實驗設(shè)備計算機(jī)一臺,PR0TELL99以上級仿真軟件一套裝。4、實驗步驟1)編輯電路原理圖(如圖所示41)在仿真操作前,先建立原理圖文件。在編輯過程中,電路圖中所有元件的電氣圖形符號一律取自“DesignExplorer 99/Library/Sch”文件夾下的Sim.ddb仿真測試用元件電氣圖形符號 數(shù)據(jù)庫文件包內(nèi)相應(yīng)的元件庫文件;2)選擇仿真方法和設(shè)置仿真方法和設(shè)置仿真參數(shù):在原理圖編輯窗口內(nèi) 單擊“Simulate”菜單下的“setup.”命令進(jìn)入“Analyses Setup”仿真設(shè)置 窗口,選擇仿真方式及仿真參數(shù)。 Protell 99 提供了 11 種仿真方式。3)選擇仿真分析方式。4)選擇計算及要立即觀察的信號。選擇仿真過程需要計算的信號類型; 選擇仿真后可立即觀察到的信號;設(shè)置仿真參數(shù)并執(zhí)行仿真操作;啟動仿真計算 過程。5)將仿真得出的數(shù)據(jù)和波形記下,并分析實驗結(jié)果的正確性。5、預(yù)習(xí)要求1)預(yù)習(xí)仿真參數(shù)的設(shè)定。2)預(yù)習(xí)所提供的仿真方式,我們應(yīng)采用哪能種仿真方式。6、思考題1)在仿真計算過程中,當(dāng)發(fā)現(xiàn)設(shè)定的仿真方式或參數(shù)不正確時,該如何 處理。仿真電路圖如圖所示412)在實驗報告中,包含電路原理圖和仿真波形圖,還要列出仿真參數(shù)的 仿真方式的選擇。4-1 共射極及大電路圖實驗五 用EWB對模擬電路進(jìn)行繪制與仿真分析1、實驗?zāi)康?)加深對共發(fā)射極基本放大電路特性的理解。2)熟練掌握EWB的零件庫和電源信號庫。3)熟練掌握EWB的模擬虛擬儀器的參數(shù)設(shè)置和調(diào)試使用方法。4)熟練掌握EWB的對模擬電路的各種分析方法。2、實驗設(shè)備計算機(jī)一臺, EWB5.0 以上版本的仿真軟件一套。3、實驗內(nèi)容和要求1)用EWB仿真軟件繪制如圖5-1所示的電路圖。2)學(xué)習(xí)靜態(tài)工作點 q 的測量和調(diào)試方法。3)觀察 Rc 和 Rb1 對靜態(tài)工作點及交流放大特性的影響。4、實驗步驟與分析1)連線如圖5-1所示電路圖線后,雙擊信號發(fā)生器圖標(biāo),將面板圖中的頻 率改為1KHZ,關(guān)閉該面板圖。雙擊示波器圖標(biāo),打開示波器,啟動電源開關(guān), 用示波器觀察輸出波形,發(fā)現(xiàn)有什么現(xiàn)象?是什么失真?失真的程度如何?2)用萬用表測試靜態(tài)工作點,并計算相應(yīng)的理論值,將結(jié)果填入表中,并分 析靜態(tài)工作點的設(shè)置是否正常?表5-1 靜態(tài)工作點3)調(diào)節(jié)電阻Rb和Rc可改變靜態(tài)工作點的設(shè)置,進(jìn)而改變放大器的動態(tài)范圍, 可風(fēng)輸出信號的大小發(fā)生了變化,放大倍數(shù)變小了。4)當(dāng)Rbl=60KQ和Rc=3KQ時,調(diào)節(jié)輸入信號大小,觀察輸出信號的變化情 況。5、實驗思考題1)在繪制電路時,器件的連線該如何處理。2)在仿真過程中,函數(shù)發(fā)生器和濾波器的參數(shù)有哪些設(shè)置?3)在仿真過程中,濾波器的調(diào)試是否與實驗室的調(diào)試過程一樣?0囚因100 k OhrnWVRc6 k Ohrn10 uFCl10 uFRb220 k OhrnWVRe2 k Ohrn1234圖5-1 共射極基本放大電路實驗六 用 EWB 對數(shù)字電路進(jìn)行仿真分析 、實驗?zāi)康?)掌握對彩燈循環(huán)顯示控制電路原理的理解。2)熟練掌握EWB的數(shù)字器件和模數(shù)器件的取用。3)熟練掌握EWB的數(shù)字虛擬儀器的參數(shù)設(shè)置和調(diào)試使用方法。4)熟練掌握EWB的對數(shù)字電路電路的仿真分析過程。、實驗設(shè)備計算機(jī)一臺, EWB5.0 以上版本的仿真軟件一套。、實驗內(nèi)容和要求1)用EWB仿真軟件繪制如圖6-1所示的電路圖。2)掌握7490計算器和138譯碼器的工作原理及使用方法,并通過按F1鍵, 了解其管腳的分配情況及其工作原理。、實驗步驟與分析1)連線如圖6-1所示電路圖。該電路由 555 定時器、7490 計數(shù)器和 138譯碼器組成。7490 計數(shù)器的時鐘信號由 555 振蕩器電路提供,改變 555 的振蕩 頻率,即可改變計數(shù)器的計數(shù)快慢,即可控制彩燈閃爍的快慢。計數(shù)器輸出端作 138譯碼器輸入信號,計數(shù)器輸出不同的數(shù)據(jù),即可控制138譯碼器譯碼得到8 種不同的輸出,控制彩燈的循環(huán)變化。741381211ID1 k Ohm-VvV1 kOhm -VvV -VvV -VVV- -VW -VVV -VvV- -VvV7490CKB CKAR01 NCR02 QANC QBVCC GNUR91 QBR92 QC+*dd14COH23456 cyyyyyyy VK D8 2 1 7 N ambcgggyg10 kOhm fVvV-1312111DGND7EIW.TTBRRESK'N2)3) 變化。4)5)滅。圖6-18路彩燈輸出的彩燈循環(huán)控制電路運(yùn)行該電路觀察發(fā)光二極管的閃爍情況。改變 555 的振蕩器頻率,重新運(yùn)行電路,觀察發(fā)光二極管的閃爍有何修改電路,使其彩燈有16路輸出,且彩燈的閃爍無規(guī)則變化。 給電路添加復(fù)位控制,復(fù)位按鈕閉合彩燈輸出,復(fù)位按鈕斷開彩燈熄實驗七 用 CPLD 的圖形輸入方式進(jìn)行電路圖的繪制與波形仿真1、實驗?zāi)康?)學(xué)習(xí)在MAX+PLUS2下設(shè)計簡單邏輯電路與功能仿真的方法。2)掌握CPLD軟件開發(fā)系統(tǒng)MAX+PLUS2操作技巧。3)掌握在MAX+PLUS 2中繪制邏輯電路的零件的查找。4)熟練掌握MAX+PLUS 2中邏輯電路的設(shè)計過程。2、實驗設(shè)備1)計算機(jī)一臺,CPLD軟件開發(fā)系統(tǒng)MAX+PLUS 2 10.0版本的仿真軟件一套。2)EDA仿真實驗箱一臺3、實驗內(nèi)容和要求1)用MAX+PLUS 2仿真軟件繪制如圖7-1所示的電路圖。2)對圖形進(jìn)行項目編譯和項目校驗,在項目校驗中,進(jìn)行功能仿真、時序 仿真;在進(jìn)行時序分析時,判斷輸入輸出間的延遲。3)進(jìn)行項目編程,將設(shè)計的項目下載/配置到所選擇的器件中去,在EDA仿真實驗箱中連線觀察實驗結(jié)果。DOD1D2D3ihfPOT 'Ute iN'gUT i.itC IMP UTVC匚: IMP UT匸山TPUT<ilJTPIJT T2 giTPIJT100IEIJT 石 UTPIJT:1b'"BCD TO 7SEGbud I® 16 2bed 17bed 21bed 22bud 23bed 24bed 25bed 27bud 2:::bud 18 Ebud 19 了AOABOBCOCDODLTNOERBINOFBINOGRBON7448圖7-1 BCD 譯碼器電路4、實驗思考題1)比較PROTELL、EWB、CPLD三種仿真軟件,它們各有什么不同?2)在進(jìn)行電路繪制完成后,必需進(jìn)行什么引腳設(shè)置才能進(jìn)行項目編譯3)在進(jìn)行時序仿真中,敘述其步驟?4)在進(jìn)行項目檢驗時,將選擇哪種目標(biāo)器件并寫出各管腳鎖定的管腳號。5、實驗報告要求1)實驗報告中包含有電路圖,和時序仿真的波形圖,并寫出參數(shù)。2)報告中包含有管腳分配圖。3)在EDA仿真實驗箱中,該如何接線,并對結(jié)果進(jìn)行分析。實驗八 用CPLD的VHDL語言進(jìn)行電路圖的繪制與波形仿真1、實驗?zāi)康?)學(xué)習(xí)用VHDL硬件描述語言進(jìn)行電路與功能仿真的方法。2)掌握混合設(shè)計輸入的方法。3)掌握在MAX+PLUS2中頂層設(shè)計文件中如何取用VHDL實現(xiàn)的功能器件。4)熟練掌握下載/配置器件過程,并能在EDA實驗箱中觀察實驗結(jié)果。2、實驗設(shè)備1)計算機(jī)一臺, CPLD 軟件開發(fā)系統(tǒng) MAX+PLUS210.0 版本的仿真軟件一 套。2)EDA仿真實驗箱一臺3、實驗內(nèi)容和要求1)用VHDL硬件描述語言設(shè)計如圖7-1所示的電路圖。2)取用由語言設(shè)計生成的器件,并對其進(jìn)行項目編譯和項目校驗,在項 目校驗中,進(jìn)行功能仿真、時序仿真;在進(jìn)行時序分析時,判斷輸入輸出間的 延遲。3)進(jìn)行項目編程,將設(shè)計的項目下載/配置到所選擇的器件中去,在EDA 仿真實驗箱中連線觀察實驗結(jié)果。bud1b 2DUbud I® 17 5DIbud 1 8 吁D2bud 19 了D3:INPUT"i.iEC:imp i 丁r VCU:input'VC匚::INPUT"'.ICCBCD TO 7SEG7448AOABOBCOCDODLTNOERBINOFBINOGRBON山 TPUT匸山TPUTMTPIJTno石 IJTPIJTT1 山 TPUT匸山* UT£ltput> QUbed 21bed ©22> Q2bed 23bed 24bed 25> Q5> Q6bed 27bud 2:::4、實驗思考題1)在進(jìn)行語言設(shè)計中,VHDL語言程序基本結(jié)構(gòu)包括哪些?2)在本語言設(shè)計中,實體名稱是什么?5、實驗報告要求1)實驗報告中包含有頂層文件(*.gdf ),時序仿真的波形圖,并寫出 參數(shù)。2)報告中附有BCD譯碼器的硬件語言描述程序(*.vhd)3)報告中包含有管腳分配圖。4)在EDA仿真實驗箱中,該如何接線,并對結(jié)果進(jìn)行分析。實驗九 液晶顯示設(shè)計實驗一、實驗?zāi)康模?、了解液晶顯示模塊的工作原理。2、熟悉在控制系統(tǒng)中握手信號的作用3、掌握LPM-ROM模塊的使用方法。二、實驗要求:設(shè)計并實現(xiàn)一個 128*32 的漢字圖形液晶顯示器的控制器,要求 能夠顯示幾個液晶模塊字庫中的漢字和 ASIC 字符 能夠顯示一個簡單的圖形(選做)三、硬件要求:4、主芯片 EPF1OK10L84-4。5、可變時鐘源。6、液晶顯示器7、一位撥碼開關(guān)。四、實驗預(yù)習(xí):1、對于實驗儀器上所使用的液晶模塊使用說明請參看實驗儀器附錄。整 個模塊共有兩個單元電路組成是控制器和存儲器。2、控制器用來產(chǎn)生液晶模塊所需的 REQ 和存儲器地址信號。當(dāng) BUSY 信號 有效時(BUSY= T ),停止輸出地址;當(dāng)液晶模塊處于空閑狀態(tài)(BUSY= 0' 時,送地址信號,等待BUSY變?yōu)?T。當(dāng)BUSY= T 時,使REQ= 0',并送出 DOU7.0信號,數(shù)據(jù)傳送結(jié)束。3、存儲器主要用來存儲液晶模塊的命令,在同步時鐘的作用下,數(shù)據(jù)同步 輸出。該存儲器可為ROM型,也可以為RAM型。當(dāng)為ROM型時,其顯示模式及顯 示數(shù)據(jù)不可變,即只能顯示固定的信息;為ROM型時,其顯示模塊及數(shù)據(jù)可根據(jù) 需要任意配置,即可顯示出更為靈活及復(fù)雜的信息,如實時的波形顯示器等。LPM_AD ORES 3_C 0 NTR 0 L=LPM_FILE=LPM_NUMWORDS=LPM_O UTDArA="U NREGISTER ED' LPM_WIDTH=lpmbwidthad=LPM ROMaddressinclock【LPM ROM1|Function Name: LPM ROMPortsPort StatusPort Name:(* UsedaddressLPM_WIDTHAD-1.OParametersParameter Name:Parameter Description:<none>OKEdit Ports/Para*etersinclock memenab outclockParameter Value:Name:Inv ersionNonePattern/Radix:ah rhe:Un usedStatus:Unused None Unused NoneLPM ADDRESS CONTROL<none>LPM FILE<none>LPM NUMWORDS<none>LPM OUTDATA"UNREGISTERED"hlValue:具體設(shè)置:Used:addressLPM-WIDTHAD-l.0; qLPM-WIDTH-1.0Unused;其他 參數(shù)值:LPM-ADDRESS-CONTROL: “UNREGISTERED”LPM-FILE: “MULTI4。 MLF” LPM-NUMWORDS: 256 存儲單元數(shù) LPM-WIDTH: 8 數(shù)據(jù)線寬度 LPM-WIDTHAD: 8 地址線寬度 在LPM-ROM時,一定要設(shè)計地址寬度和數(shù)據(jù)寬度。4、對所要顯示的漢字在儀器說明書中查出區(qū)位編碼,并記下,以便在程序 中使用。5、預(yù)習(xí)EDA實驗箱說明書上的液晶顯示器的原理及引腳接線。五、 實驗內(nèi)容:1)使用VHDL語言編寫程序并觀看結(jié)果。2)分析該測試程序,編寫出可以顯示自己名字或圖形的程序,下載驗證。3)根據(jù)所編的程序進(jìn)行芯片下載,對芯片進(jìn)行連線。4)CLK接64HZ時鐘,BUSY,REQ,DOU7.0分別接液晶顯示模塊所對應(yīng)的 節(jié)點, CLR 接一撥碼開關(guān),低電平有效。六、 實驗報告要求:1)利用仿真波形詳細(xì)說明液晶控制時序的產(chǎn)生原理;2)敘述LPM-ROM模塊使用時的注意事項。3)例出VHDL語言源程序,并附頂層文件。電子電路 CAD 設(shè)計性實驗報告書姓名 班級 學(xué)號指導(dǎo)老師 日期實驗項目名稱一、實驗?zāi)康亩嶒炓笕嶒瀮?nèi)容四、實驗結(jié)果:1、實驗中顯示的漢字字符2、頂層文件圖形:(可附在后面)3、VHDL 源程序(附在后面)4、利用仿真波形詳細(xì)說明液晶控制時序的產(chǎn)生原理;5、敘述 LPM-ROM 模塊使用時的注意事項。批閱人成績?nèi)掌陔娮与娐?CAD 實驗預(yù)習(xí)報告班級 學(xué)號 姓名 成績 教師 日期 實驗題目一、實驗?zāi)康模簩嶒灢襟E:實驗預(yù)習(xí)內(nèi)容:班級電子電路 CAD 實驗報告學(xué)號姓名成績教師日期實驗題目一、 實驗?zāi)康模憾?、實驗儀器三、實驗內(nèi)容及要求:四、實驗步驟五、實驗思考題:六、實驗體會或總結(jié)

注意事項

本文(《電子電路CAD》實驗指導(dǎo)書)為本站會員(gao****ang)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!