歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOCX文檔下載  

《計(jì)算機(jī)原理與接口技術(shù)》很全考試復(fù)習(xí)題資料

  • 資源ID:25229980       資源大小:291.52KB        全文頁數(shù):44頁
  • 資源格式: DOCX        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

《計(jì)算機(jī)原理與接口技術(shù)》很全考試復(fù)習(xí)題資料

奧鵬遠(yuǎn)程教育中心助學(xué)服務(wù)部福師1 1 08考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題一及參考答案單項(xiàng)選擇題(每小題1分,共30分)1 .計(jì)算機(jī)能直接識(shí)別并執(zhí)行的語言是()A.匯編語言B.機(jī)器語言C.高級(jí)語言D.低級(jí)語言2 . CPU和主存之間的高速緩存Cache的主要作用是()。A .提高CPU勺工作速率B .擴(kuò)大主存容量C .提高CPU問外存的速度D .提高CPU問主存的等效速度TL 3 .當(dāng) 8086CPUR樣至ij引腳 READY=0 則 CPU等()A.執(zhí)行停機(jī)指令B.二插入等待周期C.執(zhí)行空操作指令D.重新發(fā)送地址碼4 .8086CPU在進(jìn)行讀內(nèi)存操作時(shí),控制信號(hào) M/IO和口丁原是()A.0, 0B.0,1C.1,0D.1, 1匕5 .在計(jì)算機(jī)中,按先進(jìn)后出方式工作的存儲(chǔ)空間是()0A.存儲(chǔ)器 B . RAM C . ROM D .堆棧 I J6 .已知 DS=12A0H ES=22A0H SS=33A0H BP=0174H 指令 MOV AX Ds/BP源操作數(shù)的物理地址是(D ) 一。/ JA.12A074HB.22B74HC.33B74HD.12B74H/ /7 .若 AX=3500H,CX=56B3H當(dāng) AND AX CX指令執(zhí)彳T后,AX=()A.1400HB.77F8HC.0000H D.0FFFFH8 .DEC BYTE PTR BX指令中的操作數(shù)的數(shù)據(jù)類型是()A.四字 B. 雙字 C. 字節(jié) D. 字9 .完成將AX清零,并且使CF清零,沒有這些功能的指令是()。A.SUB AX, AX B.AND AX, 0 C.XOR AX,AX D.MOV AX ,010 .用偽指令可以定義邏輯段,定義一個(gè)段的偽指令是()。A.PROG口 ENDPB.NAME 和 ENDC.SEGMENT ENDSD.SEGMENT 和 ASSUME11、以下對于獨(dú)立編址的說法中錯(cuò)誤的是()A.需要設(shè)置專門信號(hào)來區(qū)分當(dāng)前地址是訪內(nèi)存單元還是I/O端口B. PC系列微機(jī)I/O尋址空間為64KB,實(shí)際用1KBC. CPU常用地址總線的低位地址對內(nèi)存尋址D.需設(shè)專門的I/O指令來專訪I/O空間12、PCI總線的寬度為()位。A. 64B. 16C. 32D. 813、2片DAC0832f 8位CPU!,要求兩片DAC0832W時(shí)對不同數(shù)據(jù)進(jìn)行 D/A轉(zhuǎn)換,不論采取何種方案,則2片DAC0832ft需地址端口數(shù)為()。A. 4.B. 2C. 3D. 114、按與存儲(chǔ)器的關(guān)系,I/O端口的編址方式分為(A .統(tǒng)一和獨(dú)立編址B.線性和非線性編址C .集中與分散編址D .重疊與非重疊編址15、微機(jī)中地址總線的作用是()。A .用于選擇進(jìn)行信息傳輸?shù)脑O(shè)備乙B .用于確定操作對象C.用于選擇存儲(chǔ)單元D .用于指定存儲(chǔ)單元和I/O設(shè)備接口電路的選擇地址 16、CPUf I / O設(shè)備間傳送的信號(hào)有()。A .控制信息B.數(shù)據(jù)信息C .狀態(tài)信息D .以上三種都是17、以下關(guān)于定時(shí)說法不正確的是()。A .定時(shí)信號(hào)是指按一定時(shí)間問隔出現(xiàn)的信號(hào)B .在微機(jī)系統(tǒng)中內(nèi)部定時(shí)是指 CPUS時(shí),它由CPU勺硬件結(jié)構(gòu)確定,是不可更 改的C.外部定時(shí)系統(tǒng)的德設(shè)計(jì)要以內(nèi)部定時(shí)為依據(jù),并且對用戶需要是透明的D .在微機(jī)系統(tǒng)中外部定時(shí)是指 CPUf外設(shè)之間或者外設(shè)與外設(shè)之間,為了完成 某一功能或操作時(shí),本身所需要的一種時(shí)序關(guān)系。18、8255A的方式選擇控制字為80H,其含義是()。A . A、B、C 口全為輸入B . A、B為方式0C. A 口為輸出,其他為輸入D . A、B、C 口均為方式0,輸出19、每個(gè)中斷向量占()個(gè)字節(jié),共占(j) KB單元。A. 2,1 B, 2,2 C. 4,1 D. 4,2W 20、一片8259A可以管理的中斷數(shù)量為()個(gè)。1J HA. 8 B. 16 C. 64 D. 32 21、計(jì)算機(jī)的外部設(shè)備必須通過()來與CPU1。1 VA.系統(tǒng)總線B,數(shù)據(jù)線C,接口電路D,微處理器 22、記數(shù)/定時(shí)器8253,在初始化時(shí)寫入的二進(jìn)制最大數(shù)的初值是 ()。/A.0000HB. 7FFFH/ /XX/ ifC.8000HD. FFFFH 23、8259A在PC機(jī)系統(tǒng)中使用兩片級(jí)聯(lián)時(shí)候,從片的INT端連主片的()端,外jf部中斷從擴(kuò)張操上IRQ9進(jìn)入從片的()端。A. IR1,IR1. ,/B. IR1,IR2C. IR2,IR2D. IR2,IR124、以下關(guān)于中斷系統(tǒng)說法不正確的是()。A,不同的微機(jī)系統(tǒng)其中斷系統(tǒng)各不相同,但其基本功能是一致的B,實(shí)現(xiàn)中斷功能的軟件系統(tǒng)稱為中斷系統(tǒng)C,中斷系統(tǒng)實(shí)現(xiàn)中斷優(yōu)先級(jí)管理功能D,中斷系統(tǒng)實(shí)現(xiàn)中斷嵌套功能25、在進(jìn)入DMA:作方式之前,DMA空制器被當(dāng)作CPU總線上的一個(gè)()。A. I/O 設(shè)備B. I/O 接口C.主處理器D.協(xié)處理器26、以下關(guān)于DMAC勺基本功能說法錯(cuò)誤的是()。A.能接受外設(shè)的DMA青求,并向CPU出總線請求信號(hào)B.在獲得總線控制權(quán)后能控制總線C. DMA專送時(shí)能向內(nèi)存和接口發(fā)送地址信號(hào),讀/寫信號(hào)在向CPU出請求后,CPU 進(jìn)行地址修改和DMAt送控制D. DMA傳送結(jié)束時(shí)向CPUK出結(jié)束信號(hào)、一27、平常所使用的光盤通過(廠)接口與微機(jī)相連。A.通信接口 UB.輸入接口C.輸出接口11D.外存接口28、CPU!過接口與外設(shè)交換信息實(shí)際上是與接口中的()交換信息?!癮.寄存器/!B.,緩沖器C.讀寫控制邏輯D.信號(hào)轉(zhuǎn)換器乙/29、波特率是指單位時(shí)間內(nèi)傳送()進(jìn)制數(shù)據(jù)的位數(shù)。A. 2B. 8C. 10D. 1630、串行異步數(shù)據(jù)傳送時(shí),如果格式規(guī)定 8位數(shù)據(jù)位,兩為停止位,則一組異步數(shù) 據(jù)共有()位。A. 8B. 10C. 11D. 12二、填空題(每空2分,共24分)1 .常將運(yùn)算器和控制器兩部分統(tǒng)稱為 。2 .在用DEBU調(diào)試程序時(shí),要查看當(dāng)前數(shù)據(jù)段中從 100H地址開始的內(nèi)存數(shù)據(jù)的命 令是:。3 . (2D7) 16= () 8 =()10。4 .變量Y1 DB 0,2 DUP(1,2),3,4 匯編后生成的數(shù)據(jù)依次是:O L 15 .當(dāng)取指令時(shí),8086會(huì)自動(dòng)選擇值作為段基值,再加上 _提供的偏移量形成物理6 .漢字的、是計(jì)算機(jī)用于漢字輸入、4/ J / /內(nèi)部處理、輸出三種不同用途的編碼。7.閃速存儲(chǔ)器特別適合于 微型計(jì)算機(jī)系統(tǒng),被譽(yù)為 而成為代替磁盤的一種理想工具。三、判斷題(正確的打“,”,錯(cuò)的打“X”。每題1分,共10分)()1.棧操作指令PUSHF口 POP、須以字為操作數(shù)。()2. 8086的所有指令的字節(jié)數(shù)相同。()3. DAC0832 8 位 D/A轉(zhuǎn)換芯片。()4.可屏蔽中斷要執(zhí)行兩個(gè)中斷響應(yīng)總線周期。()5.實(shí)際上,微處理器對外設(shè)備的訪問就是對端口中的接口訪問。()6. 8255與CPU1接時(shí)地址線一般與 CPU勺地址總線A1和A0連接()7. CPU通過接口與外設(shè)交換信息實(shí)際上是與接口中的寄存器交換信息。()8.對于獨(dú)立編址而言,系統(tǒng)中有兩個(gè)相互獨(dú)立的尋址空間:CPU尋址空間和I/O尋址空間。()9. CPU通過接口與外設(shè)交換信息實(shí)際上是與接口中的寄存器交換信息()10.中斷響應(yīng)周期是從中CPU發(fā)出中斷信號(hào)到中斷返回的這段時(shí)間四.理解程序并按題要求作答(每空 3分,共18分)1 . DBY DB 72H , 83H, 5AH 94H, 61H MOV CL , DBY x_ AND CL , 0FHMOV AL , DBY +2SHR AL , CL上述指令序列執(zhí)行后,AL=, CL=。 I I I2 .下面的程序從鍵盤輸入一字符,若為數(shù)字則顯示“ It is a numeral. ",否則顯示 “Mistake,it is not a numeral. ” 然后返回操作系統(tǒng)。 IfDAT SEGMENTISNUM DB “It is a numeral.$ " / / /NONUM DB “Mistake,it is not a numeral.$"DAT ENDS0MYCD SEGMENTASSUME CS : MYCD DS: DATFFD PROC FARSTART MOV AX DATMOV DS, AXMOV AH, 1INT 21HCMP AL , 0CMP AL , 9MOV AH , 9INT 21HDONE MOV AH, 4CHINT 21HGO:MOV AH ,INT 21HJMP DONEFFD ENDPMYCD ENDSEND START五.接口設(shè)計(jì)與應(yīng)用(每題9分,共18分)心系天下求學(xué)人30專業(yè)專注周到細(xì)致DATSEGMENTYBDB 21H,73H,90H,48H,FBDB 20 DUPDATENDS1 .編寫程序,完成將YB中10個(gè)字節(jié)的壓縮型BC則拆成非壓縮型BC則,順序存放在FB中。2 .同上題,另編寫一程序,完成將字節(jié)變量 FB中的非壓縮型BCD*序輸出到屏幕 上。福師1108考試批次計(jì)算機(jī)原理與接口技術(shù)考試復(fù)習(xí)題一參考答案、選擇題1 10 : B D B C D1120 : C C C AD2030 : C AD B BD A C D CD C B C A CD AAD二、填空題1、中央處理器CPU3、 1327O 1011010111B5、CS SS6、輸入編碼(或輸入碼)內(nèi)碼(或機(jī)內(nèi)碼)字模碼7、便攜式 固態(tài)盤三、判斷題1-5: TFTTF四、程序理解題1、匯編語言基本語句,2、匯編語言基本語句,五、接口設(shè)計(jì)題6-10: TTTFT參考相關(guān)指令含義作答。詳細(xì)略。 參考相關(guān)指令含義作答。詳細(xì)略。1、2均為匯編語言運(yùn)用試題,1題注意偽指令使用,重點(diǎn)在 10個(gè)BCD碼得循環(huán)。2題需要注 意輸出指令的運(yùn)用。福師1 1 08考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題二及參考答案二.單項(xiàng)選擇題(每小題1分,共30分)1.存儲(chǔ)器操作數(shù)的有效地址直接取自一個(gè)基址或變址寄存器的尋址方式屬于()A.直接尋址 B .寄存器間接尋址 C .基址尋址D .變址尋址2.當(dāng)8086CPUS彳T I/O寫操作時(shí)應(yīng)滿足的引腳關(guān)系為()。(#表示低電平)A. M/IO#=1,WR#=0C M/IO#=0,WR#=03.立即尋址的指令直接給出操作數(shù),B. M/IO#=1,WR#=1D. M/IO#=0,WR#=1 即指令機(jī)器碼的最后().12 D . 3CC. 210FHB*D*語法正確的是()。個(gè)字節(jié)就操作數(shù)。)。4.已知SP=2110H執(zhí)行POP AX后,SP寄存器的值是()A. 210EHB. 2112HD. 2111H5.下面的XCHGI令中,A. XCHG AL DSC. XCHG AL 0FH6. CPU主要包括(A.控制器B.運(yùn)算器和主存XCHG AL BXXCHG BUF1 BUF2C.控制器、ALU和主存D.控制器、 運(yùn)算器、cache7.以下四種類型指令中,執(zhí)行時(shí)間最長的是(A. RR 型B. SS 型C.程序控制指令D. RS 型8.下列(A.編譯系統(tǒng)B.連接程序C.文本處理D.操作系統(tǒng))屬于應(yīng)用軟件。9.在主存和CPUi間增加cache存儲(chǔ)器的目的是(A.解決CPUS主存之間的速度匹配問題B.增加內(nèi)存容量,同時(shí)加快存取速度C.提高內(nèi)存可靠性D.增加內(nèi)存容量10 .在指令的地址字段中,直接指出操作數(shù)本身的尋址方式,稱為()。A.隱含尋址B.寄存器尋址C.直接尋址D.立即尋址11 .某單片機(jī)的系統(tǒng)程序,不允許用戶在執(zhí)行時(shí)改變,則可以選用()作為存儲(chǔ)芯片。A. SRAMB. cacheC.輔助存儲(chǔ)器D.閃速存儲(chǔ)器 11 L12 .設(shè)變址寄存器為X,形式地址為D, (X)表示寄存器X的內(nèi)容,這種尋址方式的有效地址為()。1. IA. EA=(X)+(D)1 J /B. EA=(X)+(D)/fC. EA=(X)+D 7/ /D. EA=(X)+D) /&13 .下述I/O控制方式中,主要由程序?qū)崿F(xiàn)的是(乙 )。A. PPU(外圍處理機(jī))方式B.通道方式C.中斷方式D. DMA方式14.系統(tǒng)總線中地址線的功能是()。A.用于選擇主存單元地址B.用于選擇進(jìn)行信息傳輸?shù)脑O(shè)備C.用于指定主存和I/O設(shè)備接口電路的地址D.用于選擇外存地址15.多處理機(jī)實(shí)現(xiàn)(A.指令)級(jí)的并行B.任務(wù)或過程C.作業(yè)或程序D.指令內(nèi)部16 .從器件角度看,計(jì)算機(jī)經(jīng)歷了四代變化。但從系統(tǒng)結(jié)構(gòu)看,至今絕大多數(shù)計(jì)算機(jī)仍屬于()型計(jì)算機(jī)。A.馮.諾依曼B.實(shí)時(shí)處理C.智能D.并行17 .關(guān)于運(yùn)算器的描述,()是正確的。A.只做算術(shù)運(yùn)算B.既做算術(shù)運(yùn)算又做邏輯運(yùn)算C.只做邏輯運(yùn)算 1D.只做加法 18. EPROMS ()。1 VA.只讀存儲(chǔ)器 B.閃速存儲(chǔ)器/C.光擦除可編程只讀存儲(chǔ)器/ JJD1讀寫存儲(chǔ)器/ /19.常用的虛擬存儲(chǔ)系統(tǒng)由()兩級(jí)存儲(chǔ)器組成,其中輔存是大容量的磁表石存儲(chǔ)器。A.主存一輔存B. cache輔存C.通用寄存器一主存D. cache 主存20.二地址指令中,操作數(shù)的物理位置不可以安排在()。A.兩個(gè)主存單元B. 一個(gè)主存單元和一個(gè)通用寄存器C.兩個(gè)通用寄存器D.棧頂和次棧頂21.同步控制是()0A.只適用于外圍設(shè)備控制的方式B.由統(tǒng)一時(shí)序信號(hào)控制的方式C.所有指令控制時(shí)間都相同的方式D.只適用于CPU空制的方式22. 一個(gè)256Kx 8的DRA就片,其地址線和數(shù)據(jù)線總和為()。A. 26B. 30C. 16D. 1823 .以下描述中基本概念不正確的是()。A. PCI總線是層次總線"I 、B. Futurebus+總線能支持64位地址C. Futurebus+總線適合于高成本的較大規(guī)模計(jì)算機(jī)系統(tǒng)D. PCI總線采用異步時(shí)序協(xié)議和分布式仲裁策略 124 .計(jì)算機(jī)的外圍設(shè)備是指()0 1A.輸入/輸出設(shè)備B.除了 CPUS內(nèi)存以外的其它設(shè)備 C.外存儲(chǔ)器“D.遠(yuǎn)程通信設(shè)備25,微程序控制器中,機(jī)器指令與微指令的關(guān)系是()0A.每一條機(jī)器指令由一段微指令編成的微程序來解釋執(zhí)行B. 一條微指令由若干條機(jī)器指令組成C.每一條機(jī)器指令由一條微指令來執(zhí)行D. 一段機(jī)器指令組成的程序可由一條微指令來執(zhí)行26. CRT的顏色數(shù)為256色,則刷新存儲(chǔ)器每個(gè)單元的字長是)。A. 8位B. 7位C. 256 位D. 16 位27 .從處理數(shù)據(jù)的角度看,不存在并行性的是()。A.字串位并B.字并位用C.字并位并D.字串位用28 .中斷向量地址是()。A.子程序入口地址B.中斷返回地址C.中斷服務(wù)例行程序入口地址D.中斷服務(wù)例行程序入口地址的指示器29 .下列數(shù)中最小的數(shù)是()。A. (50) 8B. (100010) BCDC. (625) 16"i 、D. (100101) 230 .多處理機(jī)的體系結(jié)構(gòu)屬于()計(jì)算機(jī)。A.SISD”B.MIMD 1 IC. SIMD UD.MISD11 J二、填空題(每空2分,共24分)1.8253有(-)種工作方式,其中方式(,)X/ Zr稱為方波發(fā)生器。2 . 8255A有()個(gè)8位端口,其中端口()可以以雙向方式工作。3 .當(dāng)今的CPUS片除了包括定點(diǎn)運(yùn)算器和控制器外,還包括()()、運(yùn)算器和()管理等部件。4.目前應(yīng)用的機(jī)群有兩種類型,一種是()機(jī)群,另一種是)機(jī)群5.為了解決多個(gè)()同時(shí)競爭總線(),必須具有()部件。三、判斷題(正確的打“,”,錯(cuò)的打“X”。每題 1分,共10分)()1. DRA而取速度較快,容量較小。()2. MOV AX DI +BX()3.對于獨(dú)立編址而言,系統(tǒng)中有兩個(gè)相互獨(dú)立的尋址空間:CPU尋址空間和I/O尋址空間。()4. CPU通過接口與外設(shè)交換信息實(shí)際上是與接口中的寄存器交換信息 w()5,中斷響應(yīng)周期是從中CPLK出中斷信號(hào)到中斷返回的這段時(shí)間()6. 8255A有兩個(gè)控制字()7.常用3-8譯碼器譯碼,每個(gè)譯碼輸出對應(yīng)一個(gè)端口地址()8.實(shí)際上,微處理器對外設(shè)備的訪問就是對端口中的接口訪問。()9. 8255與CPU1接時(shí)地址線一般與 CPU勺地址總線A1和A0連接。()10. CPU!過接口與外設(shè)交換信息實(shí)際上是與接口中的寄存器交換信息。/ /四.理解程序并按題要求作答(每題 6分,共18分) “1. ORG 2000HVAL DB ?LEA SI, VALMOV CX, SIXT : ADD SI, 1 LOOP XT變量 VAL的偏移地址 ; , 程序段執(zhí)行后 SI _。2、閱讀下列程序段,回答問題IN AL , 82HXOR AH AHADD AX , AXMOV BX , AXMOV CX , 2SHL BX , CL ADD AX , BX 程序段的功能是什么? 若從82H端口讀入白數(shù)據(jù)為05H,執(zhí)行程序段后AX=>,9,01H,1000H3、源程序如下:MOV CX MOV AL MOV SI NEXT MOV SI , AL INC SI SHL AL LOOP NEXT問:執(zhí)行本程序后有:AL=; SI=; CX=;本程序的功能是 o對數(shù)據(jù)段置數(shù). J I 1五.接口設(shè)計(jì)與應(yīng)用(每題9分,共18分),1 U1、若將8253計(jì)數(shù)器的輸出端OUT乍為中斷請求信號(hào),并將8259的INT引腳接至8086的可屏蔽INTR弓唧。當(dāng)設(shè)置8259的中斷觸發(fā)方式時(shí),對于 8253的6種 工作方式,哪種應(yīng)設(shè)成電平觸發(fā)方式?哪種應(yīng)設(shè)成上升沿觸方式?/2、設(shè)8253的片選地址為200H-203H,分別對應(yīng)計(jì)數(shù)器0#、1#、2#及控制字寄存器, 若計(jì)數(shù)器0#的CLK0O俞入600KHZ:7j波及GATE0=1要使OUT0俞出10KHZ, 問定時(shí)器/計(jì)數(shù)器0#應(yīng)工作在何種方式?若工作方式設(shè)只讀/寫8位數(shù)據(jù)且采用 BC則計(jì)數(shù),試寫出設(shè)置控制字及計(jì)數(shù)初值的指令序列。福師、填空題1108考試批次計(jì)算機(jī)原理與接口技術(shù)考試復(fù)習(xí)題二參考答案1-10: BDCDBDBCAD11-20: DCCCC21-30: BADBAABCADADCAB二、填空題1、2、3、4、5、3 Acache 浮點(diǎn)專用企業(yè)主設(shè)主設(shè)備存儲(chǔ)管理三、判斷題FTTFT FTFTT四、程序理解題1、考核知識(shí)點(diǎn):2、考核知識(shí)點(diǎn):3、考核知識(shí)點(diǎn):五、接口設(shè)計(jì)題1.考核知識(shí)點(diǎn):控制權(quán)總線仲裁微型計(jì)算機(jī)程序設(shè)計(jì),匯編語言基本語句,參考相關(guān)指令含義作答,詳細(xì)略。微型計(jì)算機(jī)程序設(shè)計(jì),詳細(xì)略。)微型計(jì)算機(jī)程序設(shè)計(jì),程序功能是對數(shù)據(jù)段置數(shù),詳細(xì)略8253的使用及工作原理,設(shè)成電平觸發(fā)的均可設(shè)成上升沿觸發(fā),但設(shè)成上升沿觸發(fā)的一般不能設(shè)成電平觸發(fā),詳細(xì)略。2、考核知識(shí)點(diǎn):8253的內(nèi)部結(jié)構(gòu)及工作原理,詳細(xì)略。出版社1、2、3、卜列數(shù)中最大的是()福師1 1 08考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題三及參考答案本復(fù)習(xí)題頁碼標(biāo)注所用教材為:教材名稱微型計(jì)算機(jī)原理及應(yīng)用鄭學(xué)堅(jiān)、周斌 2010年3月第3版 清華大學(xué)出版社36如果學(xué)生手中的教材與我們的版本不同,請參考我們標(biāo)注的知識(shí)點(diǎn)尋找相應(yīng)答案。三.單項(xiàng)選擇題(每小題1分,共30分)目前的計(jì)算機(jī)中,代碼形式是()oA.指令以二進(jìn)制形式存放,數(shù)據(jù)以十進(jìn)制形式存放 B.指令以十進(jìn)制形式存放,數(shù)據(jù)以二進(jìn)制形式存放 C.指令和數(shù)據(jù)都以二進(jìn)制形式存放D.指令和數(shù)據(jù)都以十進(jìn)制形式存放 完整的計(jì)算機(jī)系統(tǒng)應(yīng)包括()。A.運(yùn)算器存儲(chǔ)器 控制器B.外部設(shè)備和主機(jī)C.主機(jī)和應(yīng)用程序D.配套的硬件設(shè)備和軟件系統(tǒng)A. (10010101) 2B. (227) 8C (96) 16,/D. (143) io、/J /4、設(shè)寄存器位數(shù)為8位,機(jī)器數(shù)采用補(bǔ)碼形式(一位符號(hào)位),對應(yīng)于十進(jìn)制數(shù)-27 , 寄存器內(nèi)為()。A. (27) 16B. (9B) 16、C (E5) 16D. (5A) 165、計(jì)算機(jī)的存儲(chǔ)器系統(tǒng)是指()0A. RAMfr儲(chǔ)器B. RO附儲(chǔ)器C.主存儲(chǔ)器D.主存儲(chǔ)器和外存儲(chǔ)器6、算術(shù)/邏輯運(yùn)算單元74181ALK完成()。A. 16種算術(shù)運(yùn)算功能B. 16種邏輯運(yùn)算功能C 16種算術(shù)運(yùn)算功能和16種邏輯運(yùn)算功能D. 4位乘法運(yùn)算功能和除法運(yùn)算功能7、某機(jī)字長32位,存儲(chǔ)容量1MB若按字編址,它的尋址范圍是()。A. 1MBB. 512KBC. 256KD. 256KB8、常用的虛擬存儲(chǔ)系統(tǒng)由()兩級(jí)存儲(chǔ)器組成。A.主存一輔存B.快存一主存C.快存一輔存D.通用寄存器一主存9、變址尋址方式中,操作數(shù)的有效地址等于()。A,基值寄存器內(nèi)容加上形式地址B.堆棧指示器內(nèi)容加上形式地址C.變址寄存器內(nèi)容加上形式地址D.程序計(jì)數(shù)器內(nèi)容加上形式地址10、在虛擬存儲(chǔ)器中,當(dāng)程序正在執(zhí)行時(shí),由()完成地址映射。A.程序員B.編譯器C.裝入程序 上D.操作系統(tǒng)i-11、由于CPirt部的操作速度較快,而cpuw問一次主存所花的時(shí)間較長,因此機(jī)器周期通常用()來規(guī)定。J 1/A.主存中讀取一個(gè)指令字的最短時(shí)間B.主存中讀取一個(gè)數(shù)據(jù)字的最長時(shí)間C.主存中寫入一個(gè)數(shù)據(jù)字的平均時(shí)間D.主存中取一個(gè)數(shù)據(jù)字的平均時(shí)間12、異步控制常用于()作為其主要控制方式。A.在單總線結(jié)構(gòu)計(jì)算機(jī)中訪問主存與外圍設(shè)備時(shí)B.微型機(jī)的CPU空制中C.組合邏45控制的CPUfrD.微程序控制器中13、描述流水CPUS本概念中,正確表述的句子是()。A. 流水CPU以空間并行性為原理構(gòu)造的處理器B. 流水CPU-定是RISC機(jī)器C.流水CPU-定是多媒體CPUD.流水CPU一種非常經(jīng)濟(jì)而實(shí)用的時(shí)間并行技術(shù)14、多總線結(jié)構(gòu)的計(jì)算機(jī)系統(tǒng)采用()方法,對提高系統(tǒng)的吞吐率最有效。A.多端口存儲(chǔ)器B.提高主存的速度C.交叉編址多模存儲(chǔ)器D.高速緩沖存儲(chǔ)器15、描述PCI總線中基本概念正確的句子是()。A. PCI總線是一個(gè)與處理器有關(guān)的高速外圍總線B. PCI總線的基本傳輸機(jī)制是猝發(fā)式傳輸C. PCI設(shè)備不是主設(shè)備D.系統(tǒng)中只允許有一條PCI總線16、當(dāng)采用()對設(shè)備進(jìn)行編址情況下,不需要專門的I/O指令組。A.統(tǒng)一編址法B.單獨(dú)編址法C.兩者都是D.兩者都不是17、CRT勺分辨率為1024X1024像素,像素顏色數(shù)為256,則刷新存儲(chǔ)器的容量是 ( )。A. 512KBB. 1MBC. 256KBD. 2MB k H18、一張3.5英寸軟盤的存儲(chǔ)容量為(),每個(gè)扇區(qū)存儲(chǔ)的固定數(shù)據(jù)是()。 1A. 1.44MB 512B 1 |fB. 1MB 1024BC. 2MB 256BD. 1.44MB 512KB19、下面敘述的概念中()是正確的。/ J /A.總線一定要和接口相連B.接口一定要和總線相連C.通道可以代替接口D.總線始終由CPU空制和管理20、多處理機(jī)分類中,不屬于緊耦合系統(tǒng)的是()。A. SMP(寸稱多處理)B. PVP (并行向量處理機(jī))C. MPP (大規(guī)模并行處理機(jī))D. DSM (分布共享存儲(chǔ)器多處理機(jī))21、已知X為整數(shù),且兇補(bǔ)=10011011 ,則X的十進(jìn)制數(shù)值是()。A. +155一B. -101C. -155D. +10122、主存儲(chǔ)器是計(jì)算機(jī)系統(tǒng)的記憶設(shè)備,它主要用來()。A.存放數(shù)據(jù)B.存放程序C.存放數(shù)據(jù)和程序D.存放微程序23、微型計(jì)算機(jī)系統(tǒng)中,操作系統(tǒng)保存在硬盤上,其主存儲(chǔ)器應(yīng)該采用()0A. RAMB. ROMC. RAMf口 ROMD. CCP24、指令系統(tǒng)采用不同尋址方式的目的是()0A.實(shí)現(xiàn)存貯程序和程序控制;B.縮短指令長度,擴(kuò)大尋址空間,提高編程靈活性;。C.可直接訪問外存;D.提供擴(kuò)展操作碼的可能并降低指令譯碼的難度;25.下面的XCHG旨令中,語法正確的是()。、vTL X X 1LA. XCHG AL DSB. XCHG AL BXC. XCHG AL 0FHD . XCHG BUF1 BUF226 .以下寄存器中,屬于數(shù)據(jù)通用寄存器的是()。A . AX B . SP C . SI D .DI27 .()指令的操作數(shù)不能是立即數(shù)。IA. AND B . OR C . NOT D . XOR28 .下列指令執(zhí)行后,不改變 AL寄存器內(nèi)容的指令是()。1 IA. AND AL 1 B . CMP AL DL C . XOR AL AL,D. SUB AL DL29 .比較BX和SI中的兩個(gè)存儲(chǔ)單元的地址,若 BX> SI轉(zhuǎn)向HIGH的正確指令是()。IC->/A. JAE HIGH B . JBE HIGH C . JGE HIGH D . JLE HIGH30 .方向標(biāo)志DF=1,執(zhí)行MOVSBf令后()。A. SI 減 1, DI 減 1, CX加 1B. SI 加 1, DI 加 1, CX減 1C. SI 減 1, DI 減 1, CX減 1D. SI 加 1, DI 加 1, CX加 1二、填空題(每空2分,共24分)1. (F7) 16=()1。 若(F7) 16 是補(bǔ)碼,其真值=()。2. 8086CPLM位時(shí),總是從()地址開始執(zhí)行程序。3. 設(shè)遠(yuǎn)程過程名為Mysubr,寫出過程定義的開始語句:(_),和結(jié)束語句:()4、多個(gè)用戶共享主存時(shí),系統(tǒng)應(yīng)提供( )。通常采用的方法是()保護(hù)和()保護(hù),并用硬件來實(shí)現(xiàn)。5、形成指令尋址的方式,稱為指令尋址方式,有順序?qū)ぶ泛?)尋址兩種,使用()來跟蹤。6、字節(jié)多路通道可允許多個(gè)設(shè)備進(jìn)行()型操作,數(shù)據(jù)傳送單位是()。、 1L三、判斷題(正確的打“,”,錯(cuò)的打“X”。每題1分,共10分)()1. MOV AX 回 +BX ()2.若計(jì)數(shù)時(shí)鐘頻率為1MHz用8253一個(gè)通道,最長定時(shí)時(shí)間為0.5 V秒。 1()3.從二進(jìn)制轉(zhuǎn)換成十六進(jìn)制時(shí),只要以小數(shù)點(diǎn)為起點(diǎn),向左、向右 Vf將每一位二進(jìn)制數(shù)轉(zhuǎn)換成一位十六進(jìn)制數(shù)。 ()4.任意進(jìn)制數(shù)轉(zhuǎn)換成十進(jìn)制數(shù)就是按權(quán)展的開多項(xiàng)式之和。()5.定點(diǎn)表示法,小數(shù)點(diǎn)在數(shù)中的位置是固定不便的;浮點(diǎn)表示法,小數(shù)點(diǎn)在數(shù)中的位置是浮動(dòng)可變的。()6.對于浮點(diǎn)數(shù),當(dāng)字長一定時(shí),分給階碼的位數(shù)越少,則表示數(shù)的范圍越大。()7.在有權(quán)BCM中,每一個(gè)十進(jìn)制數(shù)符均用一個(gè) 4位二進(jìn)制碼來表ILX,/示,這4位二進(jìn)制碼中的每一個(gè)均有固定權(quán)值。()8.無權(quán)BC則沒有確定的位權(quán)值,因此不以按位權(quán)展開求它們所代表的十進(jìn)制()9.計(jì)算機(jī)只能處理數(shù)字信息。()10.漢字信息在系統(tǒng)內(nèi)傳送的過程就是漢字代碼轉(zhuǎn)換的過程。四.理解程序并按題要求作答(每題 6分,共18分) 1. DBY DB 72H , 83H, 5AH 94H, 61HMOV CL , DBYAND CL , 0FH MOV AL , DBY +2 SHR AL , CL 上述指令序列執(zhí)行后, AL=, CL= 2. ORG 2000H VAL DB ?LEA SI, VALMOV CX, SIXT : ADD SI, 1 LOOP XT 變量VAL的偏移地址=,程序段執(zhí)行后SI = 3.源程序如下:MOV CX9 91MOV AL, 01HMOV SI, 1000HNEXT MOV SI , AL INC SI SHL AL, 1 LOOP NEXT 問:執(zhí)行本程序后有:AL=; SI=; CX=_本程序的功能是五.接口設(shè)計(jì)與應(yīng)用(每題9分,共18分)2. 8088系統(tǒng)接有一片8253,當(dāng)A7A2=001011時(shí)該8253芯片工作,定義通道 0 (計(jì)數(shù)器0)工作在方式4, CLK0=2MHz要求定時(shí)5mq通道2 (計(jì)數(shù)器2)工作在方式0,其CLK2輸入外部記數(shù)事件,每計(jì)滿1275個(gè)數(shù)向 CPU發(fā)出中斷請求。要求:(1)確定 8253 各 口地址; (2)確定8253各通道的控制字; (3)編寫8253通道0和通道2的初始化程序。2.利用8086的RD,WR和M/IO弓唧,產(chǎn)生存儲(chǔ)器讀 MEMR、存儲(chǔ)器寫MEMW、 IO讀IORC與IO寫IOWC信號(hào),畫出電路圖。福師1108考試批次計(jì)算機(jī)原理與接口技術(shù)考試復(fù)習(xí)題三參考答案 一、選擇題 1-10 :CDBCDCCACD11-20:AADABABABC21-30:BCCBBACBAA二、填空題1、 2472472、FFFF0H弋、3、Mysubr PROC FAR Mysubr ENDP4、存儲(chǔ)保護(hù)存儲(chǔ)區(qū)域訪問方式5、跳躍 程序計(jì)數(shù)器6、傳輸 字節(jié)Tli 、三、判斷題 1TFFTF FTFFT、 IW u四、程序理解題1、匯編語言基本語句,參考相關(guān)指令含義作答。卜2、考察MOV/AND/SHR 等命令,理解該命令便可輕松作答。3、微型計(jì)算機(jī)程序設(shè)計(jì),詳細(xì)略。1 JI Jr五、設(shè)計(jì)題1、考核知識(shí)點(diǎn):8253芯片的內(nèi)部結(jié)構(gòu)及通道操作過程,熟悉掌握可做此題。2、考察知識(shí)點(diǎn):微型處理器的存儲(chǔ)器結(jié)構(gòu)。RD讀信號(hào)引腳,此信號(hào)指出將要一個(gè)對內(nèi)存后I/O的讀操作。到底是讀取內(nèi)存單元還是IO端口數(shù)據(jù),取決于M/IO信號(hào) 引腳。若其為低電平則和內(nèi)存單元傳輸數(shù)據(jù),否則和IO端口傳輸數(shù)據(jù)。WR氐電平有效表示CPU前正在進(jìn)彳T存儲(chǔ)器或IO操作。知此可做此題。福師1203考試批次I計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題及參考答案一一、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確的答案,并在本題答題卡的相應(yīng) 欄中打。每小題1分,共20分)1 .完成將AX清零,并且使CF清零,沒有這些功能的指令是 ()。A.SUB AX, AX B.AND AX, 0 C.XOR AX,AX D.MOV AX , 02 .保存各邏輯段的起始地址的寄存器是()A.通用寄存器 B.數(shù)據(jù)寄存器 C.指針寄存器D.段寄存器3 . DEC BYTE PTR BX指令中的操作數(shù)的數(shù)據(jù)類型是()A.四字 B. 雙字 C. 字節(jié) D. 字4.8086CPU在進(jìn)行讀內(nèi)存操作時(shí),控制信號(hào) M/ IO和DT/ R是()A.0 , 0B.0, 1C.1, 0D.1, 15 .在計(jì)算機(jī)中,按先進(jìn)后出方式工作的存儲(chǔ)空間是()。A.存儲(chǔ)器B . RAM C . ROM D . 堆棧6 .已知 DS=12A0H ES=22A0H SS=33A0H BP=0174H:指令 MOXAX, ES: BP源操作數(shù)的物理 地址是()。A.12A074HB.22B74HC.33B74HD.12B74H7 .計(jì)算機(jī)中地址的概念是內(nèi)存儲(chǔ)器各存儲(chǔ)單元的編號(hào),現(xiàn)有一個(gè)32KB的存儲(chǔ)器,用十六進(jìn)制對它的地址進(jìn)行編碼,則編號(hào)可從0000H至ij( )H。A. 32761 B. 7FFF C. 8FFF D. 6FFFJ-8lL,、 , L-1-2r- kL8 .當(dāng)8086CPU采樣到引腳 READY=0 ,貝U CPU將()A.執(zhí)行停機(jī)指令B.插入等待周期C.執(zhí)行空操作指令D.重新發(fā)送地址碼9 . CPU和主存之間的高速緩存 Cache的主要作用是()。 1A.提高CPU的工作速率B .擴(kuò)大主存容量.1VC.提高CPU訪問外存的速度D .提高CPUW問主存的等效速度10 .計(jì)算機(jī)能直接識(shí)別并執(zhí)行的語言是 ()I JA.匯編語言 B.機(jī)器語言 C.高級(jí)語言 D.低級(jí)語言J/11、計(jì)算機(jī)的外部設(shè)備必須通過()來與CPU相連。A.系統(tǒng)總線B.數(shù)據(jù)線C. j接口電路D.微處理器12、記數(shù)/定時(shí)器8253,在初始化時(shí)寫入的二進(jìn)制最大數(shù)的初值是()。A. 0000H#B. 7FFFH C.8000HD. FFFFH13、8259A在PC機(jī)系統(tǒng)中使用兩片級(jí)聯(lián)時(shí)候,從片的 INT端連主片的()端,外部中斷從擴(kuò) 張操上IRQ9進(jìn)入從片的()端。.A. IR1,IR1B. IR1,IR2C. IR2,IR2D. IR2,IR114、以下關(guān)于中斷系統(tǒng)說法不正確的是()。A.不同的微機(jī)系統(tǒng)其中斷系統(tǒng)各不相同,但其基本功能是一致的B.實(shí)現(xiàn)中斷功能的軟件系統(tǒng)稱為中斷系統(tǒng)C.中斷系統(tǒng)實(shí)現(xiàn)中斷優(yōu)先級(jí)管理功能D.中斷系統(tǒng)實(shí)現(xiàn)中斷嵌套功能15、在進(jìn)入DMAT作方式之前,DM出制器被當(dāng)作 CPU總線上白一個(gè)()。A. I/O 設(shè)備B. I/O 接口C.主處理器D.協(xié)處理器16、以下關(guān)于DMAC勺基本功能說法錯(cuò)誤的是(A.能接受外設(shè)的DMA青求,并向CPUt出總線請求信號(hào)B.在獲得總線控制權(quán)后能控制總線C. DMA專送時(shí)能向內(nèi)存和接口發(fā)送地址信號(hào),讀 /寫信號(hào)在向CPU發(fā)出請求 后,CPU進(jìn)行地址 修改和DMA專送控制D. DMA傳送結(jié)束時(shí)向CPU發(fā)出結(jié)束信號(hào)17、A.B.C.D.平常所使用的光盤通過()接口與微機(jī)相連。通信接口輸入接口輸出接口外存接口18、CPU通過接口與外設(shè)交換信息實(shí)際上是與接口中的()交換信息。A.B.C.D.寄存器 緩沖器 讀寫控制邏輯 信號(hào)轉(zhuǎn)換器19、波特率是指單位時(shí)間內(nèi)傳送()進(jìn)制數(shù)據(jù)的位數(shù)。A. 2 B. 8 C. 10 D. 1620、串行異步數(shù)據(jù)傳送時(shí),如果格式規(guī)定8位數(shù)據(jù)位,兩為停止位,則一組異步數(shù)據(jù)共有位。A. 8B. 10C. 11D. 12二、填空題(每空 2分,共26分)2 .總穗掘是:DEBUG調(diào)試程序時(shí):要杳看當(dāng)前代碼段中從 270H地卜。開始的程序的命令3 . 8086實(shí)模式下,堆棧操作以為單位;數(shù)據(jù)進(jìn)棧,棧頂向(大/?。┑刂贩较蚋?dòng)。4 .變量VAL1 DB 0,2 DUP(3,4),7,8匯編后生成的數(shù)據(jù)依次是:5 .若一個(gè)十進(jìn)制數(shù)的 BC加為10000110,則該數(shù)是(6 .常將運(yùn)算器和控制器兩部分統(tǒng)稱為 7. (2D7) 16=()8 =(10O8 .當(dāng)取指令時(shí),8086會(huì)自動(dòng)選擇 值作為段基值,再加上 提供的偏移量形成物理地址。9 .閃速存儲(chǔ)器特別適合于 1 微型計(jì)算機(jī)系統(tǒng),被譽(yù)為 而成為代替磁盤的一種理想工具。三、完善程序(段)(每空3分,共21分)1 .下面的程序完成在 VAL開始的若干單字節(jié)有符號(hào)數(shù)的累加,結(jié)果存入字類型變量 SUM中。請完善程序。DAT SEGMENTVAL DB 23H, -3AH ,,6CHCNT EQU $-VALSUM DW 0DAT ENDSMYCD SEGMENT ASSUME CS : MYCD , DS: DATSTART: PUSH DSPUSH AX 1MOV AX , DATMOVDS, AX1LEA SI, VALMOVCX, CNT(/XORDX , DXNEXT : MOVAL , SIADD DX, AXINC SIMOV SUM , DXRETMYCD ENDSEND START2 . DBY DB 72H, 83H, 5AH , 94H, 61HMOVCL,DBYANDCL,0FHMOVAL,DBY +2SHRAL,CL上述指令序列執(zhí)行后, AL =, CL =奧鵬遠(yuǎn)程教育中心助學(xué)服務(wù)部中。DATYBFBDAT$-STR匚DATAsTGLBUSYACKLNN =ENDS(4+4+15=23) SEGMENT時(shí)可添加所需的信號(hào)線);寫一程序,初始化 8255,并把STR開始的字符串輸出到打dataDB Some old people are印機(jī)。DATSTR(a)DATu細(xì)致四、程序設(shè)計(jì)與接口應(yīng)用(第 1題10分,第2題23分,共33分)1 .編寫程序,完成將 YB中10個(gè)字節(jié)的壓縮型 BCD碼拆成非壓縮型 BCD碼,順序存放在 FBSEGMENTDB 21H,73H,90H,48H,DB 20 DUPENDS2 .如下圖,是打印機(jī)時(shí)序和接口電路。如(a)圖所示,數(shù)據(jù)送到打印機(jī)的數(shù)據(jù)線DATA上,當(dāng)打印機(jī)不忙時(shí)(BUSY=0 )時(shí),用STB#=0把數(shù)據(jù)輸入打印機(jī)中。請完成:用地址 A0-A7譯碼, 使8255的A、B、C和控制口地址分別為 2CH、2DH、2EH、2FH。請把圖中的 74LS138譯碼 器接入(需要時(shí)可添加所需的門電路);若8255的A 口以方式0做為數(shù)據(jù)端口,主機(jī)通過 C口查詢的方式向打印機(jī)輸出字符,請把圖(b)中已標(biāo)出的需要連接的信號(hào)連接成完整的接口電路X.X TL心系天下求學(xué)人奧鵬遠(yuǎn)程教育中心助學(xué)服務(wù)部參考答案一一、選擇題1 10 : D C C C D D B B D B、Vu T1120 : C ADB B CDAAD二、填空題1、各部件之間信息的公共通道2、d 100-. V3、字小5、86 、匕6、中央處理器 CPU i、7、 1327O 1011010111BJ f8、CS SS9、,輸入編碼(或輸入碼)內(nèi)碼(或機(jī)內(nèi)碼) 字模碼J ff10、便攜式固態(tài)盤/ / 廿三.完善程序(段)V/ g1、匯編語言基本語句,參考課件第五章第一節(jié)。2、匯編語言基本語句,參考課件第五章第三節(jié)。四、程序設(shè)計(jì)與接口應(yīng)用1 .答:此題考察匯編語言基本指令的應(yīng)用,主要思路如下:依次取出STR中的字符, 每一個(gè)與a的asii碼對比來判斷是否為數(shù)字符號(hào),如果是數(shù)字 NCOT加1。 請參照課件第七章匯編程序設(shè)計(jì)。2 .此題考察微型計(jì)算機(jī)程序設(shè)計(jì),請參照課件第八章輸入輸出程序設(shè)計(jì)。福師1203考試批次計(jì)算機(jī)原理與接口技術(shù)復(fù)習(xí)題及參考答案二一、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確的答案,并在本題答題卡的相應(yīng) 欄中打。每小題1分,共20分)1 .存儲(chǔ)器操作數(shù)的有效地址直接取自一個(gè)基址或變址寄存器的尋址方式屬于()。A.直接尋址B.寄存器間接尋址C.基址尋址D.變址尋址2 .當(dāng)8086CPU進(jìn)彳T I/O寫操作時(shí)應(yīng)滿足的引腳關(guān)系為()。(#表示低電平)A. M/IO#=1,WR#=0B. M/IO#=1,WR#=1心系天下求學(xué)人44專業(yè)專注周到細(xì)致C. M/IO#=0,WR#=0D. M/IO#=0,WR#=13 .立即尋址的指令直接給出操作數(shù),即指令機(jī)器碼的最后()個(gè)字節(jié)就操作數(shù)。A. 6B. 4C. 12 0D. 34 .已知SP=2110H執(zhí)行POP AX后,SP寄存器的值是()。A. 210EHB. 2112HC. 210FHD. 2111H5 .下面的XCHG指令中,語法正確的是()。A . XCHG AL , DSB. XCHG AL , BXC. XCHG AL , 0FHD. XCHG BUF1 , BUF26. CPU 主要包括()。 1" A.控制器B.運(yùn)算器和主存1C.控制器、ALU和主存 D.控制器、運(yùn)算器、cache 7.以下四種類型指令中,執(zhí)行時(shí)間最長的是()。 1A. RR 型11B. SS 型C.程序控制指令/HD. RS 型8下列()屬于應(yīng)用軟件。A.編譯系統(tǒng)8 .連接程序C.文本處理D.操作系統(tǒng)9 .在主存和CPU之間增加cache存儲(chǔ)器的目的是()。A.解決CPU和主存之間的速度匹配問題B.增加內(nèi)存容量,同時(shí)加快存取速度C.提高內(nèi)存可靠性D.增加內(nèi)存容量10 .在指令的地址字段中,直接指出操作數(shù)本身的尋址方式,稱為()。A.隱含尋址B.寄存器尋址C.直接尋址D.立即尋址)作為存儲(chǔ)芯片。11 .某單片機(jī)的系統(tǒng)程序,不允許用戶在執(zhí)行時(shí)改變,則可以選用(A. SRAMB. cacheC.輔助存儲(chǔ)器D.閃速存儲(chǔ)器12 .設(shè)變址寄存器為 X,形式地址為 D, (X)表示寄存器X的內(nèi)容,這種尋址方式的有效地址 為()。A. EA=(X)+(D)B. EA=(X)+(D)C. EA=(X)+DD. EA=(X)+D)13 .下述I/O控制方式中,主要由程序?qū)崿F(xiàn)的是()。A. PPU(外圍處理機(jī))方式B.通道方式C.中斷方式D. DMA方式14 .系統(tǒng)總線中地址線的功能是()。A.用于選擇主存單元地址B.用于選擇進(jìn)行信息傳輸?shù)脑O(shè)備C.用于指定主存和I/O設(shè)備接口電路的地址D.用于選擇外存地址15 .多處理機(jī)實(shí)現(xiàn)()級(jí)的并行。A.指令B.任務(wù)或過程C.作業(yè)或程序 1D.指令內(nèi)部、 匕16.從器件角度看,計(jì)算機(jī)經(jīng)歷了四代變化。但從系統(tǒng)結(jié)構(gòu)看,至今絕大多數(shù)計(jì)算機(jī)仍屬于(,)型計(jì)算機(jī)。)A.馮.諾依曼1 iB.實(shí)時(shí)處理JJ/C.智能/D.并行/17.關(guān)于運(yùn)算器的描述,()是正確的。A.只做算術(shù)運(yùn)算j/B.既做算術(shù)運(yùn)算又做邏輯運(yùn)算-C.只做邏輯運(yùn)算D.只做加法18 . EPROM 是指()。A.只讀存儲(chǔ)器B.閃速存儲(chǔ)器C.光擦除可編程只讀存儲(chǔ)器D.讀寫存儲(chǔ)器19 .常用的虛擬存儲(chǔ)系統(tǒng)由()兩級(jí)存儲(chǔ)器組成,其中輔存是大容量的磁表石存儲(chǔ)器。A.主存一輔存B. cache輔存C.通用寄存器一主存D. cache一主存20.二地址指令中,操作數(shù)的物理位置不可以安排在()。A.兩個(gè)主存單元B. 一個(gè)主存單元和一個(gè)通用寄存器C.兩個(gè)通用寄存器D.棧頂和次棧頂二、填空題(每空 2分,共26分)1 . 8253有()種工作方式,其中方式()稱為方波發(fā)生器。2 . 8255A有( 式工作。)個(gè)8位端口,其中端口(

注意事項(xiàng)

本文(《計(jì)算機(jī)原理與接口技術(shù)》很全考試復(fù)習(xí)題資料)為本站會(huì)員(飛***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!