歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

《計(jì)算機(jī)組成原理》教案.doc

  • 資源ID:8343832       資源大小:319KB        全文頁數(shù):43頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

《計(jì)算機(jī)組成原理》教案.doc

計(jì)算機(jī)組成原理教案授課學(xué)時(shí):72學(xué)時(shí)授課對象:計(jì)算機(jī)07級授課時(shí)間:2009年授課教師:許宏計(jì)算機(jī)組成原理教學(xué)內(nèi)容:研究討論單臺計(jì)算機(jī)的完整硬件系統(tǒng)的基本組成原理與內(nèi)部運(yùn)行機(jī)制。課程性質(zhì):計(jì)算機(jī)科學(xué)與技術(shù)及相關(guān)專業(yè)的基礎(chǔ)課程。課程的目的和任務(wù):用層次結(jié)構(gòu)的觀點(diǎn)并以信息的加工、處理為主線研究計(jì)算機(jī)硬件結(jié)構(gòu)及工作原理;使學(xué)生掌握計(jì)算機(jī)硬件系統(tǒng)中各大部件的組成原理、邏輯實(shí)現(xiàn)、設(shè)計(jì)方法及互連構(gòu)成整機(jī)的技術(shù);培養(yǎng)學(xué)生對硬件系統(tǒng)的分析、設(shè)計(jì)、開發(fā)、使用和維護(hù)方面的能力,建立牢固的整機(jī)思想。課程特點(diǎn):內(nèi)容覆蓋面廣,基本概念多、抽象,難以建立計(jì)算機(jī)的整機(jī)概念。教學(xué)目標(biāo):盡可能清晰而完整地介紹當(dāng)代計(jì)算機(jī)系統(tǒng)的性質(zhì)和特征。具有挑戰(zhàn)性:計(jì)算機(jī)系統(tǒng)的多樣性:價(jià)格、體積、性能和應(yīng)用等。計(jì)算機(jī)技術(shù)的飛速發(fā)展:低層的集成電路計(jì)算機(jī)和并行組織技術(shù)。課程內(nèi)容的工程性、技術(shù)性、實(shí)用性都比較強(qiáng),因此,在學(xué)習(xí)計(jì)算機(jī)組成的原理性知識之外,還應(yīng)有較多的設(shè)計(jì)與實(shí)驗(yàn)技能訓(xùn)練。課程的教學(xué)基本要求:使學(xué)生學(xué)懂簡單、完整的單臺計(jì)算機(jī)的基本部件和整機(jī)系統(tǒng)組成,以及計(jì)算機(jī)部件連接關(guān)系和運(yùn)行機(jī)理,了解計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的入門性知識,掌握使用和簡單維護(hù)計(jì)算機(jī)系統(tǒng)的基本技能。教學(xué)方法和教學(xué)形式建議:本課程采用遠(yuǎn)程教學(xué)和面授輔導(dǎo)相結(jié)合的方式開展教學(xué)。遠(yuǎn)程教學(xué)包括要求學(xué)生收看電視錄像課、網(wǎng)上的流媒體(IP)課件、網(wǎng)上教學(xué)輔導(dǎo)、實(shí)時(shí)和非實(shí)時(shí)答疑等多種教學(xué)形式;面授輔導(dǎo)應(yīng)考慮學(xué)生的在職和成人特點(diǎn)和需求,在業(yè)余時(shí)間進(jìn)行有針對性的學(xué)習(xí)指導(dǎo)。平時(shí)作業(yè)既是學(xué)生自我檢驗(yàn)學(xué)習(xí)水平的一種形式,也是很重要的形成性考核手段,各級電大教學(xué)點(diǎn)應(yīng)配合面授輔導(dǎo)教師督促學(xué)生獨(dú)立完成并及時(shí)批改和反饋,必要時(shí)應(yīng)要求學(xué)生重做。小組討論課是在教師引領(lǐng)下對預(yù)先布置的主題開展討論的一種教學(xué)活動(dòng)形式。這種教學(xué)活動(dòng)能夠很好地激發(fā)學(xué)生的學(xué)習(xí)興趣,各級電大教學(xué)點(diǎn)應(yīng)配合面授輔導(dǎo)教師組織實(shí)施。同時(shí),小組討論課也是一種形成性考核形式,教師應(yīng)注意把握“引領(lǐng)”、“提問”和“點(diǎn)評”等多個(gè)教學(xué)指導(dǎo)環(huán)節(jié),并依據(jù)標(biāo)準(zhǔn)給予每個(gè)學(xué)生合理的學(xué)習(xí)評價(jià)。課程教學(xué)要求的層次:1掌握:屬于課程中最重要的內(nèi)容。要求學(xué)生能夠熟練運(yùn)用這部分知識對相關(guān)問題做基本的分析、計(jì)算和處理,具有基本的應(yīng)用能力和舉一反三的能力。2理解:屬于課程中較重要的內(nèi)容。要求學(xué)生能夠運(yùn)用這部分知識對相關(guān)問題進(jìn)行簡單的分析、判斷和說明,具有區(qū)別或區(qū)分容易被混淆的概念的能力,以及讀懂原理框圖、流程圖、程序語句和簡單邏輯電路圖的能力。3了解:屬于課程中與上述“掌握”和“理解”部分相關(guān)的較復(fù)雜的內(nèi)容,作為提高或擴(kuò)大知識面的內(nèi)容。要求學(xué)生對這部分知識能夠初步認(rèn)識、學(xué)會(huì)、記憶或簡單理解,具有簡要描述、敘述、說明和舉例的能力。學(xué)時(shí)分配教學(xué)內(nèi)容課內(nèi)學(xué)時(shí)一、計(jì)算機(jī)系統(tǒng)概述6二、數(shù)據(jù)表示和運(yùn)算方法10三、運(yùn)算器部件8四、指令系統(tǒng)和匯編程序設(shè)計(jì)9五、控制器部件9六、存儲器系統(tǒng)12七、計(jì)算機(jī)輸入/輸出設(shè)備與系統(tǒng)12八、并行計(jì)算機(jī)體系結(jié)構(gòu)6合計(jì)72第一章節(jié):計(jì)算機(jī)系統(tǒng)概述(6學(xué)時(shí))主要內(nèi)容:1、 計(jì)算機(jī)系統(tǒng)的基本組成和它的層次結(jié)構(gòu)2、 計(jì)算機(jī)硬件系統(tǒng)的5個(gè)功能部件及其功能3、 計(jì)算機(jī)硬件的主要的技術(shù)和性能指標(biāo)4、 計(jì)算機(jī)系統(tǒng)的體系結(jié)構(gòu)、組成和實(shí)現(xiàn)概述5、 計(jì)算機(jī)系統(tǒng)的發(fā)展、應(yīng)用與分類教學(xué)要求:1了解計(jì)算機(jī)系統(tǒng)硬軟件的組成和它們的層次關(guān)系;2理解計(jì)算機(jī)硬件系統(tǒng)的5大功能部件和各自的功能;3理解計(jì)算機(jī)部件的連接關(guān)系和整機(jī)運(yùn)行過程的入門性知識;4了解3個(gè)級別的計(jì)算機(jī)語言;5理解計(jì)算機(jī)系統(tǒng)的主要性能與技術(shù)指標(biāo);6理解計(jì)算機(jī)“體系結(jié)構(gòu)”、“組成”和“實(shí)現(xiàn)”各自面對的主要問題;7了解計(jì)算機(jī)發(fā)展歷程和應(yīng)用領(lǐng)域;8了解計(jì)算機(jī)系統(tǒng)分類。知 識 結(jié) 構(gòu) 圖計(jì)算機(jī)系統(tǒng)硬件軟件主機(jī)外部設(shè)備外存儲器輸入設(shè)備輸出設(shè)備中央處理器內(nèi)存儲器運(yùn)算器控制器系統(tǒng)軟件應(yīng)用軟件操作系統(tǒng)語言處理程序服務(wù)性程序通用軟件用戶程序一、 計(jì)算機(jī)系統(tǒng)的基本組成和它的層次結(jié)構(gòu)構(gòu)成計(jì)算機(jī)硬件和軟件的6個(gè)層次5、高級語言層4、匯編語言層3、操作系統(tǒng)層2、指令系統(tǒng)層1、微體系結(jié)構(gòu)層0、數(shù)字邏輯層軟件部分硬件部分二、 計(jì)算機(jī)硬件系統(tǒng)的5個(gè)功能部件及其功能計(jì)算機(jī)主機(jī)CPU計(jì)算機(jī)外圍設(shè)備接口線路(輸出設(shè)備)接口線路(輸入設(shè)備)運(yùn)算器部件存儲器部件控制器部件數(shù)據(jù)總路線地址總路線控制總路線三、 計(jì)算機(jī)硬件的主要的技術(shù)和性能指標(biāo)1、 計(jì)算機(jī)字長計(jì)算機(jī)普遍使用二進(jìn)制,只有0和1兩個(gè)值,相鄰數(shù)位之間采用“逢二進(jìn)一”的規(guī)則處理,在計(jì)算機(jī)系統(tǒng)內(nèi)部,通常選用多少個(gè)二進(jìn)制位來表示一個(gè)數(shù)據(jù)或一條指令是一個(gè)關(guān)鍵技術(shù)指標(biāo),例如16位、32位或者64位,這個(gè)位數(shù)被稱為計(jì)算機(jī)字長。2、 CPU速度衡量CPU速度,通常有兩種方式。第一種方式使用CPU主頻。第二種方式使用CPU每一秒鐘能執(zhí)行的指令條數(shù),單位是MIPS。3、 存儲容量計(jì)算機(jī)中的存儲器通常包括內(nèi)存儲器和外存儲器兩大類。四、 算機(jī)系統(tǒng)的體系結(jié)構(gòu)、組成和實(shí)現(xiàn)概述1、 計(jì)算機(jī)的體系結(jié)構(gòu)通常是指涉及機(jī)器語言或者匯編語言的程序設(shè)計(jì)人員所見到的計(jì)算機(jī)系統(tǒng)的屬性,更多說的是計(jì)算機(jī)的外特性,是硬件子系統(tǒng)的結(jié)構(gòu)概念及其功能特性。2、 計(jì)算機(jī)組成是在依據(jù)計(jì)算機(jī)體系結(jié)構(gòu)確定并且分配了硬件子系統(tǒng)的概念結(jié)構(gòu)和功能特性的基礎(chǔ)上,設(shè)計(jì)計(jì)算機(jī)各部件的具體組成、它們之間的連接關(guān)系、實(shí)現(xiàn)機(jī)器指令級的各種功能和特性。3、 計(jì)算機(jī)實(shí)現(xiàn)是計(jì)算機(jī)組成的物理實(shí)現(xiàn)。五、 算機(jī)系統(tǒng)的發(fā)展、應(yīng)用與分類計(jì)算機(jī)發(fā)展:自計(jì)算機(jī)誕生以來,大約每58年,計(jì)算機(jī)的運(yùn)算速度可提高10倍,可靠性可提高10倍,體積可縮小至原來的1/10,成本也降低為原來的1/10。自20世紀(jì)70年代以來,計(jì)算機(jī)的產(chǎn)量以每年25的速度遞增。具體可分為五個(gè)發(fā)展階段:第一階段:電子管計(jì)算機(jī)(19461959)第二階段:晶體管計(jì)算機(jī)(19591964)第三階段:中小規(guī)模集成電路計(jì)算機(jī)(19641975)第四階段:大規(guī)模集成電路計(jì)算機(jī)(19751990)第五階段:新一代計(jì)算機(jī)(1990現(xiàn)在)計(jì)算機(jī)的分類按照功能劃分:通用計(jì)算機(jī):巨型機(jī)、大型機(jī)、中型機(jī)、小型機(jī)、微型機(jī)、單片機(jī)專用計(jì)算機(jī):有效、經(jīng)濟(jì)、快速按照信息的形式和處理方法分:電子模擬計(jì)算機(jī)電子數(shù)字計(jì)算機(jī)第六章:數(shù)據(jù)表示和運(yùn)算方法(10學(xué)時(shí))主要內(nèi)容:1、 二進(jìn)制碼與不同進(jìn)制數(shù)之間的轉(zhuǎn)換2、 定點(diǎn)數(shù)在計(jì)算機(jī)內(nèi)的表示與編碼3、 浮點(diǎn)數(shù)在計(jì)算機(jī)內(nèi)的表示4、 文字和多媒體信息的表示與編碼5、 數(shù)據(jù)校驗(yàn)碼6、 二進(jìn)制數(shù)值數(shù)據(jù)的運(yùn)算算法教學(xué)要求:1掌握數(shù)制及數(shù)制轉(zhuǎn)換的基本概念,熟練運(yùn)用各種進(jìn)制數(shù)間的轉(zhuǎn)換規(guī)則進(jìn)行手工轉(zhuǎn)換運(yùn)算;2掌握原碼、反碼和補(bǔ)碼的基本概念和定義,并能熟練完成定點(diǎn)數(shù)的原碼、反碼和補(bǔ)碼之間的轉(zhuǎn)換;3理解常用二-十進(jìn)制數(shù)編碼的特點(diǎn),能夠說明各種不同編碼的實(shí)用意義;4理解浮點(diǎn)數(shù)在計(jì)算機(jī)內(nèi)的表示方法,能夠說明階碼和尾數(shù)的位數(shù)與數(shù)值范圍和數(shù)值精度的關(guān)系;5了解浮點(diǎn)數(shù)的規(guī)格化和隱藏位的含義,會(huì)判斷浮點(diǎn)數(shù)的溢出;6掌握十進(jìn)制數(shù)與浮點(diǎn)數(shù)間的轉(zhuǎn)換運(yùn)算;7了解文字和多媒體信息的表示的基本概念;8理解檢錯(cuò)糾錯(cuò)編碼的用途,能夠區(qū)分幾種常見的校驗(yàn)碼,能夠說明奇偶校驗(yàn)碼的實(shí)現(xiàn)方法,能夠說明海明碼及循環(huán)碼實(shí)現(xiàn)檢錯(cuò)和糾錯(cuò)的道理;9掌握運(yùn)用定點(diǎn)數(shù)的補(bǔ)碼加減法運(yùn)算規(guī)則進(jìn)行基本的運(yùn)算和溢出檢查的方法;10了解定點(diǎn)數(shù)的原碼一位乘、除法的可行算法數(shù)據(jù)表示和運(yùn)算方法各種數(shù)制間的轉(zhuǎn)換定點(diǎn)數(shù)的編碼非數(shù)值數(shù)據(jù)的編碼糾錯(cuò)碼和檢錯(cuò)碼浮點(diǎn)數(shù)的編碼與規(guī)格化補(bǔ)碼加減法、原碼一位乘除法的運(yùn)算方法原碼補(bǔ)碼反碼浮點(diǎn)數(shù)的編碼浮點(diǎn)數(shù)的規(guī)格化浮點(diǎn)數(shù)的IEEE754標(biāo)準(zhǔn)西文字符的編碼漢字的編碼多媒體信息的編碼奇偶校驗(yàn)碼海明碼CRC編碼知 識 結(jié) 構(gòu) 圖一、 進(jìn)制碼與不同進(jìn)制數(shù)之間的轉(zhuǎn)換1、 十進(jìn)制到二進(jìn)制數(shù)的轉(zhuǎn)換十進(jìn)制與二進(jìn)制數(shù)的轉(zhuǎn)換要分為整數(shù)與小數(shù)兩種情況分別轉(zhuǎn)換。整數(shù)的轉(zhuǎn)換:采用除2取余,高位至下,直到商為0時(shí)結(jié)束。小數(shù)的轉(zhuǎn)換:采用乘2取整,高位至上,位數(shù)達(dá)到要求或小數(shù)部分為0時(shí)結(jié)束。2、 二進(jìn)制到十進(jìn)制數(shù)的轉(zhuǎn)換二進(jìn)制到十進(jìn)制數(shù)的轉(zhuǎn)換通常按公式(2、2)對二進(jìn)制數(shù)各位的實(shí)際值累加求和完成。例:(10110)2=124+023+122+121+020=223、 八進(jìn)制到二進(jìn)制數(shù)的轉(zhuǎn)換因?yàn)?3=8,故1個(gè)八進(jìn)制位對應(yīng)3個(gè)二進(jìn)制位,可以把1個(gè)八進(jìn)制位數(shù)的整數(shù)部分和小數(shù)部分的每一位分別轉(zhuǎn)換成3個(gè)二進(jìn)制數(shù)。4、 二進(jìn)制到八進(jìn)制數(shù)的轉(zhuǎn)換因?yàn)?3=8,故3個(gè)二進(jìn)制位對應(yīng)1個(gè)八進(jìn)制位,可以從小數(shù)點(diǎn)位置分別向左和向右把每3位二進(jìn)制數(shù)劃分為一組,并轉(zhuǎn)換成1位八進(jìn)制數(shù)。注意:小數(shù)部分分組時(shí)若低位不足3位時(shí)要用0補(bǔ)足,否則會(huì)出錯(cuò)。5、 十六進(jìn)制到二進(jìn)制數(shù)的轉(zhuǎn)換因?yàn)?4=16,故1個(gè)十六進(jìn)制位對應(yīng)4個(gè)二進(jìn)制位,可以把1個(gè)十六進(jìn)制數(shù)的整數(shù)的整數(shù)部分和小數(shù)部分的每一位分別轉(zhuǎn)換成4位二進(jìn)制數(shù)。6、 二進(jìn)制制到十六進(jìn)數(shù)的轉(zhuǎn)換因?yàn)?4=16,故4個(gè)二進(jìn)制位對應(yīng)1個(gè)十六進(jìn)制位,可以從小數(shù)點(diǎn)位置分別向左和向右把每4位二進(jìn)制數(shù)劃分為一組,并轉(zhuǎn)換成1位十六進(jìn)制數(shù)。注意:小數(shù)部分分組時(shí)若低位不足4位要用0補(bǔ)足,否則會(huì)出錯(cuò)。7、八、十六到十進(jìn)制數(shù)的轉(zhuǎn)換按照公式2、2的規(guī)定,用對各位實(shí)際值累加求和的方法完成。8、十到八、十六進(jìn)制數(shù)的轉(zhuǎn)換可先將十進(jìn)制數(shù)轉(zhuǎn)換為二進(jìn)制,再轉(zhuǎn)換成對應(yīng)的八、十六進(jìn)制數(shù);也可以將1個(gè)十進(jìn)制數(shù)直接轉(zhuǎn)換為對應(yīng)的八進(jìn)制或十六進(jìn)制,例如對整數(shù),采用除8取余、高位在下的原則得到八進(jìn)制數(shù);或采用除16取余、高位在下的原則得到十六進(jìn)制數(shù)。二、 定點(diǎn)數(shù)在計(jì)算機(jī)內(nèi)的表示與轉(zhuǎn)換定點(diǎn)數(shù)定點(diǎn)數(shù)是指小數(shù)點(diǎn)固定在某個(gè)位置上的數(shù)據(jù),一般有小數(shù)和整數(shù)兩種表示形式。定點(diǎn)小數(shù)是把小數(shù)點(diǎn)固定在數(shù)據(jù)數(shù)值部分的左邊,符號位的右邊;整數(shù)是把小數(shù)點(diǎn)固定在數(shù)據(jù)數(shù)值部分的右邊。我們在前面討論的數(shù)據(jù)都是定點(diǎn)數(shù)。原碼、反碼、補(bǔ)碼結(jié)束了各種進(jìn)制的轉(zhuǎn)換,我們來談?wù)劻硪粋€(gè)話題:原碼、反碼、補(bǔ)碼。我們已經(jīng)知道計(jì)算機(jī)中,所有數(shù)據(jù)最終都是使用二進(jìn)制數(shù)表達(dá)。我們也已經(jīng)學(xué)會(huì)如何將一個(gè)10進(jìn)制數(shù)如何轉(zhuǎn)換為二進(jìn)制數(shù)。不過,我們?nèi)匀粵]有學(xué)習(xí)一個(gè)負(fù)數(shù)如何用二進(jìn)制表達(dá)。比如,假設(shè)有一 int 類型的數(shù),值為5,那么,我們知道它在計(jì)算機(jī)中表示為: 00000000 00000000 00000000 00000101 5轉(zhuǎn)換成二制是101,不過int類型的數(shù)占用4字節(jié)(32位),所以前面填了一堆0。現(xiàn)在想知道,-5在計(jì)算機(jī)中如何表示?在計(jì)算機(jī)中,負(fù)數(shù)以其正值的補(bǔ)碼形式表達(dá)。什么叫補(bǔ)碼呢?這得從原碼,反碼說起。原碼:一個(gè)整數(shù),按照絕對值大小轉(zhuǎn)換成的二進(jìn)制數(shù),稱為原碼。比如 00000000 00000000 00000000 00000101 是 5的 原碼。反碼:將二進(jìn)制數(shù)按位取反,所得的新二進(jìn)制數(shù)稱為原二進(jìn)制數(shù)的反碼。取反操作指:原為1,得0;原為0,得1。(1變0; 0變1)比如:將00000000 00000000 00000000 00000101每一位取反,得11111111 11111111 11111111 11111010。稱:11111111 11111111 11111111 11111010 是 00000000 00000000 00000000 00000101 的反碼。反碼是相互的,所以也可稱: 11111111 11111111 11111111 11111010 和 00000000 00000000 00000000 00000101 互為反碼。補(bǔ)碼:反碼加1稱為補(bǔ)碼。也就是說,要得到一個(gè)數(shù)的補(bǔ)碼,先得到反碼,然后將反碼加上1,所得數(shù)稱為補(bǔ)碼。比如:00000000 00000000 00000000 00000101 的反碼是:11111111 11111111 11111111 11111010。那么,補(bǔ)碼為: 11111111 11111111 11111111 11111010 + 1 = 11111111 11111111 11111111 11111011所以,-5 在計(jì)算機(jī)中表達(dá)為:11111111 11111111 11111111 11111011。轉(zhuǎn)換為十六進(jìn)制:0xFFFFFFFB。三、 浮點(diǎn)數(shù)在計(jì)算機(jī)內(nèi)的表示 浮點(diǎn)數(shù) 浮點(diǎn)數(shù)是指小數(shù)點(diǎn)位置可浮動(dòng)的數(shù)據(jù),通常以下式表示: N=M*RE 其中,N為浮點(diǎn)數(shù),M(mantissa)為尾數(shù),E(exponent)為階碼,R(radix)稱為“階的基數(shù)(底)”,而且R為一常數(shù),一般為2、8或16。在一臺計(jì)算機(jī)中,所有數(shù)據(jù)的R都是相同的,于是不需要在每個(gè)數(shù)據(jù)中表示出來。因此,浮點(diǎn)數(shù)的機(jī)內(nèi)表示一般采用以下形式:Ms是尾數(shù)的符號位,設(shè)置在最高位上。 E為階碼,有n+1位,一般為整數(shù),其中有一位符號位,設(shè)置在E的最高位上,用來表示正階或負(fù)階。M為尾數(shù),有m位,由Ms和M組成一個(gè)定點(diǎn)小數(shù)。Ms=0,表示正號,Ms1,表示負(fù)號。為了保證數(shù)據(jù)精度,尾數(shù)通常用規(guī)格化形式表示:當(dāng)R2,且尾數(shù)值不為0時(shí),其絕對值應(yīng)大于或等于(0.5)10 。對非規(guī)格化浮點(diǎn)數(shù),通過將尾數(shù)左移或右移,并修改階碼值使之滿足規(guī)格化要求。假設(shè)浮點(diǎn)數(shù)的尾數(shù)為0.0011,階碼為0100(設(shè)定R=2),規(guī)格化時(shí),將尾數(shù)左移2位,而成為01100,階碼減去(10)2 ,修改成0010,浮點(diǎn)數(shù)的值保持不變。當(dāng)一個(gè)浮點(diǎn)數(shù)的尾數(shù)為0(不論階碼是何值),或階碼的值比能在機(jī)器中表示的最小值還小時(shí),計(jì)算機(jī)都把該浮點(diǎn)數(shù)看成零值,稱為機(jī)器零。 根據(jù)IEEE 754國際標(biāo)準(zhǔn),常用的浮點(diǎn)數(shù)有兩種袼式: (1) 單精度浮點(diǎn)數(shù)(32位),階碼8位,尾數(shù)24位(內(nèi)含1位符號位)。 (2) 雙精度浮點(diǎn)數(shù)(64位),階碼11位,尾數(shù)53位(內(nèi)含1位符號位)。在多數(shù)通用機(jī)中,浮點(diǎn)數(shù)的尾數(shù)用補(bǔ)碼表示,階碼用補(bǔ)碼或移碼表示。四、 文字和多媒體信息的表示與編碼1、 西文字符的編碼西文是由拉丁字母、數(shù)字、標(biāo)點(diǎn)符號及一些特殊的符號所組成的,它們統(tǒng)稱為“字符”(character),主要用于外部設(shè)備和計(jì)算機(jī)之間的信息交換。所有字符的集合叫做“字符集”。2、 漢字的編碼漢字輸入碼、漢字內(nèi)碼、漢字字形碼(輸出碼)3、 多媒體信息的編碼圖的編碼表示:一幅圖在計(jì)算機(jī)內(nèi)部有兩個(gè)表示方式:“圖像(image)”方式和“圖形(graphics)”方式聲音的編碼表示:計(jì)算機(jī)處理的聲音可以分為3種:一種是語音,即人的說話聲;第二種是音樂;即各種樂器演奏出的聲音;第三種是效果聲,如掌聲、打雷、爆炸等聲音。在計(jì)算機(jī)內(nèi)部可以用波形法和合成法兩種方法表示聲音。所有的聲音都可以用波形法來表示,但更多用于語音和效果聲,對于音樂聲,則用合成法表示更好一些。視頻信息的編碼表示:視頻獲取設(shè)備將視頻信號轉(zhuǎn)換為計(jì)算機(jī)內(nèi)部表示的二進(jìn)制數(shù)字信息的過程被稱為視頻信息的“數(shù)字化”。視頻信息的數(shù)字化過程比聲音更復(fù)雜一些,它是以一幅幅彩色畫面為單位進(jìn)行的。五、 數(shù)據(jù)校驗(yàn)碼所謂校驗(yàn)碼,又稱檢錯(cuò)碼,是指具有發(fā)現(xiàn)某些錯(cuò)誤或自動(dòng)改正錯(cuò)誤能力的一種數(shù)據(jù)編碼方法,用于檢查或糾正讀寫和傳送數(shù)據(jù)的過程中可能出現(xiàn)的錯(cuò)誤。常見的校驗(yàn)碼有:奇偶校驗(yàn)碼,海明校驗(yàn)碼(漢明碼),循環(huán)冗余校驗(yàn)碼。六、 二進(jìn)制數(shù)值數(shù)據(jù)的運(yùn)算算法1、 補(bǔ)碼加法與減法運(yùn)算規(guī)則及電路實(shí)現(xiàn)運(yùn)算規(guī)則:加減法運(yùn)算是計(jì)算機(jī)中最基本的運(yùn)算,通常選用補(bǔ)碼實(shí)現(xiàn),實(shí)現(xiàn)的算法是:X+Y補(bǔ)=X 補(bǔ)+Y 補(bǔ)(MOD2)X-Y補(bǔ)=X 補(bǔ)+-Y 補(bǔ)(MOD2)2、 原碼一位乘法與除法的運(yùn)算算法原碼一位乘法是將符號位與數(shù)值位分開進(jìn)行運(yùn)算,乘積的符號是兩個(gè)數(shù)符號的異或值,數(shù)值是兩個(gè)數(shù)絕對值(原碼表示的數(shù)值位)的乘積。將部分積的一次總加改為分步累加;將部分積左移改為部分積右移;使部分積連同乘數(shù)一起右移,以便保存雙倍位數(shù)的乘積。原碼一位乘法的算法是:用乘數(shù)寄存器的最低位選擇求部分積的數(shù)據(jù)來源:被乘數(shù)或0值;相加求得部分積并使其右移一位,乘數(shù)也同時(shí)右移一位,此時(shí)高位部分積的最低位移入乘數(shù)寄存器的高位。用一個(gè)特定的寄存器控制相乘次數(shù)(決定于數(shù)據(jù)位的位數(shù))。用乘數(shù)與被乘數(shù)符號位的異或值作為乘積的符號。原碼一位除法和原碼乘法一樣,符號位是單獨(dú)處理的。實(shí)現(xiàn)除法操作時(shí),應(yīng)避免除數(shù)為0,否則屬非法操作。在計(jì)算機(jī)中,原碼一位除法是采用加減交替法實(shí)現(xiàn)的。第三章節(jié):計(jì)算機(jī)的運(yùn)算器部件(8學(xué)時(shí))主要內(nèi)容:1、 算術(shù)邏輯運(yùn)算部件的功能設(shè)計(jì)與線路實(shí)現(xiàn)2、 計(jì)算機(jī)的定點(diǎn)運(yùn)算器3、 浮點(diǎn)運(yùn)算和浮點(diǎn)運(yùn)算器教學(xué)要求:1掌握定點(diǎn)運(yùn)算器中ALU的功能;2了解定點(diǎn)運(yùn)算器中ALU的線路和實(shí)現(xiàn)原理;3掌握定點(diǎn)運(yùn)算器的功能與組成,了解運(yùn)算器在整機(jī)系統(tǒng)中的地位;4理解MIPS計(jì)算機(jī)的運(yùn)算器實(shí)例的組成特點(diǎn);5了解定點(diǎn)運(yùn)算器Am2901芯片的內(nèi)部結(jié)構(gòu)框圖,以及用該芯片構(gòu)建運(yùn)算器部件的方法;6理解浮點(diǎn)數(shù)的表示方式,會(huì)運(yùn)用浮點(diǎn)數(shù)的運(yùn)算規(guī)則作簡單計(jì)算;7了解浮點(diǎn)運(yùn)算器的功能與組成;8了解CPU芯片內(nèi)的運(yùn)算器部件的一般組成。知 識 結(jié) 構(gòu) 圖計(jì)算機(jī)的運(yùn)算器部件件運(yùn)算器的功能與組成浮點(diǎn)運(yùn)算與浮點(diǎn)運(yùn)算器部件定點(diǎn)運(yùn)算器部件ALU的線路實(shí)現(xiàn)運(yùn)算器的組成運(yùn)算器的功能運(yùn)算器的控制與使用浮點(diǎn)數(shù)的運(yùn)算規(guī)則浮點(diǎn)運(yùn)算器的功能與組成浮點(diǎn)運(yùn)算器實(shí)例定點(diǎn)運(yùn)算器的功能與組成定點(diǎn)運(yùn)算器實(shí)例定點(diǎn)運(yùn)算器的設(shè)計(jì)與實(shí)現(xiàn)一、 計(jì)算機(jī)的定點(diǎn)運(yùn)算器1、 定點(diǎn)運(yùn)算器部件的功能、組成與控制運(yùn)算器部件是計(jì)算機(jī)五大功能部件中的數(shù)據(jù)加工部件。定點(diǎn)運(yùn)算器主要完成對整數(shù)類型數(shù)據(jù)的算術(shù)運(yùn)算、邏輯型數(shù)據(jù)的邏輯運(yùn)算功能。運(yùn)算器位數(shù)取決于機(jī)器字長,通常是16位,32位或者64位,它將關(guān)系到處理數(shù)據(jù)的能力;運(yùn)算器的組成直接關(guān)系到計(jì)算機(jī)系統(tǒng)的數(shù)據(jù)處理能力和運(yùn)行性能。二、 浮點(diǎn)運(yùn)算和浮點(diǎn)運(yùn)算器1、 浮點(diǎn)數(shù)的運(yùn)算規(guī)則浮點(diǎn)數(shù)通常有兩種表示方式,一種表示方式用于運(yùn)算過程,出現(xiàn)在浮點(diǎn)運(yùn)算器內(nèi)部,另外一種表示表示用于浮點(diǎn)九的存儲過程。第四章節(jié):指令系統(tǒng)和匯編語言程序設(shè)計(jì)(9學(xué)時(shí))教學(xué)內(nèi)容:1指令、計(jì)算機(jī)指令系統(tǒng)概述;2指令格式與尋址方式;3指令系統(tǒng)舉例,Pentium、MIPS32和教學(xué)示例計(jì)算機(jī)的指令系統(tǒng);4匯編語言程序設(shè)計(jì)簡介。教學(xué)要求:1理解指令的功能、構(gòu)成格式、操作碼和操作數(shù)地址兩個(gè)字段的內(nèi)容和組織方式;2了解指令分類的方案和分類結(jié)果;3了解指令周期對計(jì)算機(jī)性能和硬件結(jié)構(gòu)的影響;4理解并記憶指令中的形式地址和物理地址的概念;5理解并敘述幾種常用的尋址方式的用法及其編碼表示;6了解幾種常用指令系統(tǒng)的組成概貌;7理解3個(gè)級別的計(jì)算機(jī)語言之間的關(guān)鍵區(qū)別和各自的應(yīng)用場合;8了解程序中常用到的幾種流程結(jié)構(gòu)及其相應(yīng)的指令或語句;9初步學(xué)會(huì)設(shè)計(jì)簡單的匯編語言程序及其調(diào)試方法。知 識 結(jié) 構(gòu) 圖指令系統(tǒng)和匯編語言程序設(shè)計(jì)指令指令系統(tǒng)匯編語言程序設(shè)計(jì)指令的定義、功能和格式操作碼字段操作數(shù)地址字段基本尋址方式指令執(zhí)行步驟指令系統(tǒng)標(biāo)準(zhǔn)指令系統(tǒng)分類指令系統(tǒng)舉例指令和匯編語句程序流程控制匯編程序設(shè)計(jì)舉例指令、計(jì)算機(jī)指令系統(tǒng)概述一、 指令的定義與指令格式1、 指令的定義用于組成計(jì)算機(jī)程序、指示計(jì)算機(jī)硬件執(zhí)行某項(xiàng)運(yùn)算或操作功能的命令叫做指令,在計(jì)算機(jī)內(nèi)部它用于一定的二進(jìn)位串來表示的。2、 指令格式通常情況下,一條指令要由如下兩部分內(nèi)容組成:第一部分是指令操作碼,第二部分是指令的操作數(shù)地址。二、 操作碼的組織與編碼1、 定長的操作碼的組織方案在當(dāng)多數(shù)的計(jì)算機(jī)中,一般都在指令字的最高位部分分配固定的若干位(定長)用于表示操作碼,例如8位,它有256個(gè)編碼狀態(tài),故最多可以表示256條指令。2、 變長的操作碼的組織方案當(dāng)計(jì)算機(jī)的字長與指令長度為16位或8位時(shí),單獨(dú)為操作碼劃分出固定的多位后,留給表示操作數(shù)地址的位數(shù)就會(huì)嚴(yán)重不足。為此不得不對一個(gè)指令字的每一個(gè)二進(jìn)制位的使用精打細(xì)算,使一些位(bit)在不同的指令中有不同的作用。三、 有關(guān)操作數(shù)的類型、個(gè)數(shù)、來源、去向和地址安排1、 用操作數(shù)個(gè)數(shù)區(qū)分指令從用到的操作數(shù)個(gè)數(shù)區(qū)分,可能有如下4種情況:無操作數(shù)指令單制作數(shù)指令雙制作數(shù)指令多制作數(shù)指令2、 操作數(shù)的來源、去向及其指令字中的地址安排操作數(shù)的第1個(gè)來源、去向,可以是CPU內(nèi)部的通用寄存器;操作數(shù)的第2個(gè)來源、去向,可以是外圍設(shè)備(接口)中的一個(gè)寄存器;操作數(shù)的第3個(gè)來源、去向,可以是內(nèi)存儲器中的一個(gè)存儲單元;在指令字中直接給出一個(gè)操作數(shù),被稱為立即數(shù)。指令中使用的基本數(shù)據(jù)類型,通常包括邏輯類型,整數(shù)類型和浮點(diǎn)數(shù)類型。尋址方式概述計(jì)算機(jī)中常用的基本尋址方式有如下多種:1、 立即數(shù)尋址:操作數(shù)直接給出在指令字中,即指令字中直接給出的不再是操作數(shù)地址,而是操作數(shù)本身。2、 直接尋址:直接尋址是在指令中直接給出操作數(shù)存儲器中的地址,這是計(jì)算機(jī)中常用的尋址方式之一。3、 寄存器尋址、寄存器間接尋址:寄存器尋址,是在指令字中給出通用寄存器的編號(名字、地址),用于訪問運(yùn)算器部件的寄存器組中的寄存器。4、 變址尋址:變址尋址,是把在指令字中給出的一個(gè)數(shù)值(稱為變址偏移量)與一個(gè)被稱為變址寄存器的內(nèi)容相加之和作為操作的地址,用于讀寫存儲器。5、 相對尋址:是指把在指令字中給出的一個(gè)數(shù)值與程序計(jì)數(shù)器PC的內(nèi)容相加之和作為操作數(shù)的地址或轉(zhuǎn)移指令的轉(zhuǎn)移地址。6、 基地址尋址:是指把在程序中所用的地址與一個(gè)特定的廳存器的內(nèi)容相加之和作為操作數(shù)的地址或指令的地址。7、 間接尋址:在指令字中給出的不是一個(gè)操作數(shù)的地址,而是一個(gè)操作數(shù)地址的地址,或一條指令地址的地址。8、 堆棧尋址:堆棧是存儲器中一塊特定的按“后進(jìn)先出”原則管理的存儲區(qū),該存儲區(qū)中被讀寫單元的地址是用一個(gè)特定的寄存器給出的,該寄存器被稱為堆棧指針。指令系統(tǒng)舉例計(jì)算機(jī)的指令系統(tǒng)有RISCT 和CISC兩種類型。RISC是精簡指令系統(tǒng)計(jì)算機(jī)的英語縮寫,它執(zhí)行同樣處理功能的程序所占用的時(shí)間要比CISC計(jì)算機(jī)更短。RISC機(jī)器的運(yùn)行性能可能要比CISC機(jī)器高2-5倍。Pentium機(jī)的指令系統(tǒng)屬于CISC結(jié)構(gòu);MIPS機(jī)的指令系統(tǒng)屬于RISC結(jié)構(gòu)。第五章節(jié):控制器部件(9學(xué)時(shí))教學(xué)內(nèi)容:1控制器的功能與組成概述;2硬連線控制器的組成與運(yùn)行原理;3微程序控制器組成與運(yùn)行原理;4指令流水線的概念和實(shí)現(xiàn)技術(shù)。教學(xué)要求:1理解并記憶計(jì)算機(jī)控制器的功能與基本組成,體會(huì)控制器在計(jì)算機(jī)整機(jī)中的地位;2理解并記憶硬連線控制器部件的實(shí)際組成及其各子部件的功能;3了解MIPS32計(jì)算機(jī)系統(tǒng)及其控制器部件的運(yùn)行原理;4了解控制器部件的設(shè)計(jì)過程和基礎(chǔ)技術(shù);5理解并記憶微程序控制器的一般組成和基本運(yùn)行原理;6理解并敘述微指令中的下地地址字段、微命令字段的內(nèi)容及其控制功能;7了解微程序控制器與硬連線控制器在組成與性能方面的異同之處;8理解并記憶指令流水線的概念,關(guān)鍵技術(shù)指標(biāo);9了解指令流水線的實(shí)現(xiàn)思路,3類相關(guān)問題及其解決方案;10了解指令級并行技術(shù)的概念。知 識 結(jié) 構(gòu) 圖控制器部件控制器部件的功能與組成兩種類型的控制器三種不同的指令周期PCIR步驟標(biāo)記控制信號部件CPU系統(tǒng)的性能指標(biāo)單指令周期CPU多指令周期CPU指令流水線硬連線控制器微程序控制器在學(xué)習(xí)控制器的過程中,可以用如下幾句話來把握整個(gè)的學(xué)習(xí)綱要:控制器的組成 控制器的運(yùn)行原理控制器,管控制, 取指、分析、再執(zhí)行,PC、IR和時(shí)序, 判別中斷并響應(yīng),組合邏輯給信號, 指令步驟看節(jié)拍,控存存放微程序。 下址續(xù)讀微指令。一、控制器的功能與組成概述控制器的作用是向整機(jī)系統(tǒng)的每個(gè)部件(包括控制器部件本身)提供它們協(xié)同運(yùn)行所需要的控制信號。執(zhí)行一條指令,通??偸且?jīng)過讀取指令,分析指令和執(zhí)行指令所規(guī)定的處理功能3個(gè)階段才能完成,這是在控制器的控制下實(shí)現(xiàn)的,控制器還要保證計(jì)算機(jī)能按程序中設(shè)定的指令運(yùn)行次序,自動(dòng)地連續(xù)執(zhí)行指令序列。組成控制器的4個(gè)子部件:執(zhí)行一條指令,要經(jīng)過讀取指令、分析指令、執(zhí)行指令所規(guī)定的處理功能三個(gè)階段完成,控制器還要保證能按程序中設(shè)定的指令運(yùn)行次序,自動(dòng)地連續(xù)執(zhí)行指令序列。為此,控制器組成中,必須有一個(gè)能提供指令在內(nèi)存中的地址的部件,通稱程序計(jì)數(shù)器(PC),服務(wù)于讀取指令,并接收下條要執(zhí)行的指令的地址。還要有一個(gè)能保存讀來的指令內(nèi)容的部件,通稱指令寄存器(IR),以提供本指令執(zhí)行的整個(gè)過程中要用到的指令本身的主要信息??刂破鞯牡谌齻€(gè)組成成分,是脈沖源、啟停控制邏輯,指令執(zhí)行的步驟標(biāo)記線路,它標(biāo)記出每條指令的各執(zhí)行步驟的相對次序關(guān)系??刂破鞯牡谒膫€(gè),也是控制器設(shè)計(jì)中最費(fèi)力的一個(gè)組成成分,是全部時(shí)序控制信號的產(chǎn)生部件,它依據(jù)指令內(nèi)容、指令的執(zhí)行步驟(時(shí)刻),也許還有些別的什么條件信號,來形成并提供出當(dāng)前各部件時(shí)刻要用到的控制信號。計(jì)算機(jī)整機(jī)各硬件系統(tǒng),正是在這些信號控制下協(xié)同運(yùn)行,產(chǎn)生予期的執(zhí)行結(jié)果,也就是執(zhí)行一條又一條的指令。依據(jù)前述控制器的最后兩個(gè)組成成分的具體組成與運(yùn)行原理的不同,通常把控制器區(qū)分為微程序的控制器和組合邏輯(硬布線)的控制器兩大類。二、 硬連線控制器部件硬連線控制器又稱為組合邏輯控制器,與微程序控制器共同構(gòu)成計(jì)算機(jī)通用的兩大類控制器。1、 硬連線控制器的組成和運(yùn)行原理簡介采用邏輯電路直接提供全部控制信號輸入操作碼指令狀態(tài)字指令步驟編碼(節(jié)拍)外部信號(Reset等)輸出全部控制信號主要解決的問題節(jié)拍轉(zhuǎn)換控制信號生成(1) 組合邏輯控制器用節(jié)拍發(fā)生器(Timing,幾個(gè)觸發(fā)器構(gòu)成的時(shí)序邏輯電路)不同的狀態(tài)組合來區(qū)分一條指令不同的執(zhí)行步驟,指令執(zhí)行步驟的接續(xù)是通過變換節(jié)拍發(fā)生器的狀態(tài)組合完成的,不同于微程序控制器中通過下地址部件給出不同的微指令地址來實(shí)現(xiàn)。這里用節(jié)拍發(fā)生器取代了原來的下地址部件。(2) 組合邏輯控制器是通過由 “與或” 兩級邏輯關(guān)系構(gòu)成的時(shí)序控制信號產(chǎn)生部件來直接給出全部的時(shí)序控制信號。送到第一級各“與門”的輸入信號是指令操作碼和節(jié)拍發(fā)生器的節(jié)拍狀態(tài)(可能還有控制條件),每個(gè)與門產(chǎn)生一個(gè)與項(xiàng)輸出,相關(guān)的與項(xiàng)輸出信號送到第二級的 “或門” ,每個(gè)或門輸出的就是一個(gè)時(shí)序控制信號。全部的時(shí)序控制信號由許多個(gè) “與或”邏輯門給出。與用控制存儲器存放全部控制信號的微程序控制方案不同,這里用時(shí)序控制信號產(chǎn)生部件取代了原來的控制存儲器,還取消了那里的微指令寄存器線路,把控制信號直接送到被控制的部件。組合邏輯控制器特點(diǎn)直接用邏輯電路實(shí)現(xiàn),用節(jié)拍標(biāo)記指令步驟,性能良好可擴(kuò)展性差,兼容性不好適合實(shí)現(xiàn)比較精簡的指令系統(tǒng)較容易實(shí)現(xiàn)并行常用于實(shí)現(xiàn)RISC三、 微程序控制器部件1、 微程序控制器的基本組成和運(yùn)行原理每條指令一個(gè)執(zhí)行步驟用到的全體控制信號組成一微指令每條指令可以包括一到多個(gè)微操作用多條微指令解釋每條指令的整個(gè)執(zhí)行過程全部微指令的集合叫做微程序執(zhí)行一條微指令所用的時(shí)間被稱為一個(gè)微周期。微指令的格式和內(nèi)容: 下地址字段 控制命令字段2、微程序設(shè)計(jì)中的下地址形成邏輯和微程序設(shè)計(jì)順序執(zhí)行下一條微指令無條件轉(zhuǎn)移到某條微指令根據(jù)微指令的某一狀態(tài)結(jié)果,選擇順序執(zhí)行或轉(zhuǎn)向某一地址微子程序調(diào)用,要使用到微堆棧多路轉(zhuǎn)移根據(jù)指令操作碼,轉(zhuǎn)移到指令的入口地址四、 指令流水線的概念和實(shí)現(xiàn)技術(shù)1、 流水線的基本概念和主要性能指標(biāo)計(jì)算機(jī)中的流水線是把一個(gè)重復(fù)的過程分解為若干個(gè)子過程,每個(gè)子過程與其他子過程并地運(yùn)行。由于這種工作方式與工廠中的生產(chǎn)流水線十分相似,因此稱為流水線技術(shù)。衡量流水線性能的主要指標(biāo)有吞吐率、加速比和效率。另外,在流水線設(shè)計(jì)中,選擇流水線的最佳數(shù)段也是一個(gè)重要問題。組合邏輯與微程序控制器比較相同點(diǎn)完成相同的功能控制信號基本相同不同點(diǎn)控制信號生成部件的組成和實(shí)現(xiàn)方式不同步驟標(biāo)記實(shí)現(xiàn)方式不同性能不同第六章節(jié):存儲器系統(tǒng)(12學(xué)時(shí))教學(xué)內(nèi)容:1多級結(jié)構(gòu)的存儲器系統(tǒng)綜述;2半導(dǎo)體存儲器芯片的內(nèi)部結(jié)構(gòu)和記憶信息的原理;3主存儲器的技術(shù)指標(biāo)、基本組成及運(yùn)行原理;4輔助存儲器的種類及指標(biāo)要求,硬盤存儲器和磁盤陣列的組成和工作原理;5光盤機(jī)的組成與運(yùn)行原理簡介;6Cache存儲器的功能、運(yùn)行原理及基本結(jié)構(gòu),Cache的地址映像方式;7虛擬存儲器的概念與實(shí)現(xiàn);8Pentium計(jì)算機(jī)中的存儲器系統(tǒng)。教學(xué)要求:1了解存儲器的分類及各類存儲器的特點(diǎn);2理解并記憶存儲器系統(tǒng)的分層結(jié)構(gòu)及原則;3了解半導(dǎo)體存儲器芯片的內(nèi)部結(jié)構(gòu)和實(shí)現(xiàn)記憶的原理;4掌握主存儲器的組成、技術(shù)指標(biāo)和運(yùn)行原理;5理解并記憶硬盤存儲器的基本組成和讀寫過程;6了解磁盤陣列技術(shù)的相關(guān)概念;7了解光盤存儲器的組成和運(yùn)行原理;8掌握并描述Cache的功能及工作原理;9理解Cache的3種地址映像方式;10了解Cache的基本結(jié)構(gòu);11了解虛擬存儲器的基本概念與實(shí)現(xiàn)方法。知 識 結(jié) 構(gòu) 圖存儲器系統(tǒng)存儲器概述主存儲器輔助存儲器高速緩沖存儲器虛擬存儲器虛擬存儲器的功能實(shí)現(xiàn)與管理方式存儲器的分類存儲器的層次結(jié)構(gòu)主存儲器的組成主存儲器的功能主存儲器的技術(shù)指標(biāo)Cache的功能和結(jié)構(gòu)地址映像方式替換算法磁盤存儲器光盤存儲器一、 存儲器概述存儲器主存儲器輔助存儲器緩沖存儲器隨機(jī)存儲器RGM只讀存儲器ROM磁盤磁帶光盤靜態(tài)動(dòng)態(tài)1、 存儲器的分類2、 存儲系統(tǒng)的層次結(jié)構(gòu)CPU高速緩存:cache主存:RAM外存:硬盤、軟盤、光盤存取速度存儲容量價(jià) 格快 小 高慢 大 低二、 半導(dǎo)休存儲器按存取方式分:1、 隨機(jī)存取存儲器(RAM):優(yōu)點(diǎn):讀寫方便,使用靈活;缺點(diǎn):易失性,一時(shí)停電,存儲的內(nèi)容便全部丟失。2、 只讀存儲器(ROM):優(yōu)缺點(diǎn):結(jié)構(gòu)簡單,位密度比RAM存儲器高;具有非易失性,可靠性更高,只能讀出,不能寫入。按存儲原理分:1、 靜態(tài)存儲器(SRAM):優(yōu)缺點(diǎn):晶體管多、們?nèi)萘可?,功耗比較大;而其主要優(yōu)點(diǎn)是不需要進(jìn)行刷新,因此簡化了外部電路。2、 動(dòng)態(tài)存儲器(DRAM):用較少的晶體管構(gòu)成一個(gè)存儲單元,提高芯片單位面積上的容量,同時(shí)也降低了每位價(jià)格和功耗。按信息傳送方式分:1、 并行存儲器2、 串行存儲器三、 主存儲器1、 主存儲器的基本組成主存儲器由存儲體、地址譯碼器和讀寫電路及控制電路組成。2、 主存儲器的基本操作主存儲器的基本操作是讀操作和寫操作。3、 存儲容量的擴(kuò)展擴(kuò)展方法根據(jù)需要有位擴(kuò)展、字?jǐn)U展和字位同時(shí)擴(kuò)展。4、 提高存儲器系統(tǒng)性能的途徑:相聯(lián)訪問,并行訪問四、 輔助存儲器1、 輔助存儲器的種類及技術(shù)指標(biāo)種類有:硬磁盤、軟磁盤、磁帶和光盤主要技術(shù)指標(biāo):存儲密度、存儲容量和尋址時(shí)間2、 硬盤存儲器硬盤由硬盤驅(qū)動(dòng)器(HDD)和硬盤控制器(HDC)組成3、 磁盤陳列技術(shù)是指把多塊獨(dú)立的硬盤(物理硬盤)按某種方式組織起來形成一個(gè)硬盤組(邏輯硬盤),從而提供比單個(gè)硬盤更高的存儲性能和提供數(shù)據(jù)備份的技術(shù)。4、 光存儲系統(tǒng)的組成與運(yùn)行原理光盤存儲系統(tǒng)由光盤片、光盤驅(qū)動(dòng)器、控制器和光盤驅(qū)動(dòng)軟件組成。光驅(qū)在訪問時(shí)有恒定線速度和恒定角速度兩種方式。當(dāng)單位距離的光道上所存儲的信息容量相等時(shí),即內(nèi)、外光道的數(shù)據(jù)記錄密度相同,則采用恒定線速度,這樣可以充分利用盤片的空間。五、 速緩沖存儲器1、 cache的結(jié)構(gòu)與運(yùn)行原理cache的功能:它的作用在于緩解主存速度慢、跟不上CPU讀寫速度要求的矛盾。cache的結(jié)構(gòu)與工作原理程序的局部性原理cache可能很好的發(fā)揮作用是基于程序訪問的局部性原理。cache的替換算法隨機(jī)算法,先進(jìn)先出算法,近期最少使用算法2、 cache的3種地址映像方式全相聯(lián)映像、直接映像和組相聯(lián)映像六、 虛擬存儲器1、 虛擬存儲器的功能與特點(diǎn)2、 頁式虛擬存儲器3、 段式虛擬存儲器4、 段頁式虛擬存儲器第七章節(jié):計(jì)算機(jī)輸入/輸出系統(tǒng)(12學(xué)時(shí))教學(xué)內(nèi)容:1輸入/輸出設(shè)備綜述;2常用設(shè)備的功能和基本工作原理簡介;3輸入/輸出接口綜述、端口的編址方式、幾種標(biāo)準(zhǔn)接口的特點(diǎn);4常用輸入/輸出方式的控制原理;5中斷的基本類型、優(yōu)先級別、完整的中斷過程;6DMA的基本概念及傳送過程;7總線的功能、組成,總線仲裁和數(shù)據(jù)傳送控制;8總線標(biāo)準(zhǔn)簡介9Pentium計(jì)算機(jī)中的總線系統(tǒng)簡介。教學(xué)要求:1.了解幾種常用輸入/輸出設(shè)備的功能和基本工作原理,區(qū)分它們的不同種類;2理解接口的含義、信息交換的過程、具有的功能和類型;3了解接口電路的兩種端口編址方式的特點(diǎn);4理解并區(qū)別幾種標(biāo)準(zhǔn)接口的不同特點(diǎn);5理解并區(qū)別幾種輸入/輸出方式的不同特點(diǎn);6理解與中斷和DMA相關(guān)的一些重要的基本概念;7理解并能解釋中斷全過程中涉及到的一些重要名詞和結(jié)論;8掌握DMA控制器的功能、組成、數(shù)據(jù)傳送方法和過程;9理解與總線相關(guān)的一些重要的基本概念;10掌握總線仲裁和數(shù)據(jù)傳送控制等基本的工作原理;11了解幾種常用的總線標(biāo)準(zhǔn)和Pentium計(jì)算機(jī)的總線系統(tǒng)。知 識 結(jié) 構(gòu) 圖輸入/輸出設(shè)備與系統(tǒng)輸入/輸出設(shè)備輸入/輸出接口常用輸入/輸出方式總線技術(shù)輸入/輸出設(shè)備分類工作特點(diǎn)幾種常用的輸入/輸出設(shè)備簡介程序查詢方式程序中斷方式DMA方式通道控制方式外圍處理機(jī)方式接口的基本組成接口的功能和類型端口的編址與尋址幾種標(biāo)準(zhǔn)外部接口簡介總線的幾個(gè)重要概念總線仲裁和數(shù)據(jù)傳送控制系統(tǒng)總線標(biāo)準(zhǔn)舉例pentium計(jì)算機(jī)的總線系統(tǒng)一、 輸入/輸出設(shè)備1、輸入/輸出設(shè)備的分類及工作特點(diǎn)依據(jù)信息流向的不同,輸入/輸出設(shè)備可以分成輸入設(shè)備、輸出設(shè)備和輸入輸出設(shè)備等3大類。按與計(jì)算機(jī)交換信息的對象不同,輸入/輸出設(shè)備還可以分成人機(jī)交互設(shè)備、數(shù)據(jù)存儲設(shè)備、計(jì)算機(jī)與計(jì)算機(jī)交互設(shè)備。特點(diǎn):異步性、實(shí)時(shí)性、多樣性。3、 幾種常用的輸入/輸出設(shè)備簡介鍵盤:是應(yīng)用最普遍的輸入設(shè)備,由一組排列成矩陣形式的按鍵開關(guān)和相應(yīng)的鍵盤控制器組成。從按鍵的數(shù)量上看,有83鍵至109鍵等多種;從按鍵的開關(guān)的結(jié)構(gòu)看,可分為接觸式和非接觸式兩種。從鍵盤提供給主機(jī)的電信號類型看,鍵盤又可分為編碼鍵盤和非編碼鍵盤兩種。鼠標(biāo)器:按鼠標(biāo)的結(jié)構(gòu)不同主要有機(jī)械式鼠標(biāo)和光電鼠標(biāo)。掃描儀:是把實(shí)物形式的圖像信息通過掃描的方式轉(zhuǎn)換成電信號,并輸入到計(jì)算機(jī)中的專門設(shè)備,是一種功能極強(qiáng)的輸入設(shè)備。掃描儀是利用自然界反射光的原理來完成對被掃描圖片或文字稿件的讀取的,其內(nèi)部主要由光學(xué)成像部分、光電轉(zhuǎn)換部分和機(jī)械傳動(dòng)部分組成。目前主要有光電耦合器件(CCD),接觸式圖像傳感器(LIDE)和光電倍增管(PMT)為光電轉(zhuǎn)換元件的3種掃描方式。顯示器:是以可見光形式、用屏幕顯示信息的計(jì)算機(jī)輸出設(shè)備。按顯示器主要部件的不同,可分為陰極射線管顯示器(CRT),液晶顯示器(LCD),等離子顯示器(PDP)和場致發(fā)光顯示器。打印機(jī):是計(jì)算機(jī)系統(tǒng)的很常用的輸出設(shè)備,從印字原理的不同來區(qū)分,有針式打印機(jī)、噴墨打印機(jī)、熱敏打印機(jī)和激光打印機(jī)很多種類,這些打印機(jī)按打印的實(shí)現(xiàn)方法又可分為擊打式和非擊打式兩大類。二、 輸入/輸出接口1、 接口的基本組成、功能和類型按通用性分類:有通用按口、專用接口。按外設(shè)與接口間的數(shù)據(jù)傳送方式:有串行接口、并行接口。按主機(jī)訪問外設(shè)的控制方式:程序查詢式接口、程序中斷接口、DMA接口。按功能的靈活性:編程接口、不可編程接口。按輸入/輸出的信號類型不同:數(shù)字接口、模擬接口。2、 端口的編址與尋址常用的編址方式主要有兩種,一種是I/O端口與主存儲器統(tǒng)一的編址方式,另一種是I/O端口與主存儲器彼此獨(dú)立的編址方式。3、 幾種標(biāo)準(zhǔn)外部接口串行接口:串行接口又稱之為通信口或COM端口,主要用于需要與系統(tǒng)進(jìn)行雙向通信的設(shè)備。并行接口:USB:三、輸入/輸出方式1、 程序查詢方式:是一種用于控制主機(jī)與外設(shè)間進(jìn)行數(shù)據(jù)傳送的最簡單方式,它直接通過程序來控制主機(jī)和外部設(shè)備之間的數(shù)據(jù)傳送,又稱程序直接控制方式。2、 程序中斷方式中斷的基本概念中斷的幾種基本的類型:內(nèi)中斷和外中斷、硬件中斷和軟件中斷、可屏蔽中斷和不可屏蔽中斷。中斷的優(yōu)先級別問題:中斷過程:一次完整的中斷過程由中斷請求、中斷響應(yīng)、中斷處理和中斷返回四個(gè)階段組成。3、 直接存儲器訪問(DMA)方式DMA方式的基本概念:DMA傳送過程:一次DMA傳送過程由傳送前的預(yù)處理、數(shù)據(jù)傳送和傳送結(jié)束處理(后處理)3個(gè)階段組成。傳送前的預(yù)處理是由CPU完成的。數(shù)據(jù)傳送是在DMA控制器控制下自動(dòng)完成的。傳送結(jié)束處理是由數(shù)據(jù)數(shù)量計(jì)數(shù)器的值為0引發(fā)出來的。4、 I/O通道控制方式和I/O處理機(jī)方式四、總線技術(shù)1、 總線概述總線的分類:按信息的類型分類:根據(jù)總線上傳送的信息的類型不同:數(shù)據(jù)總線,地址總線和控制總線3種。按總線的層次分類:根據(jù)總線從里向外的層次:CPU內(nèi)部總線、部件內(nèi)總線、系統(tǒng)總線和外總線。按總線的結(jié)構(gòu)分類:根據(jù)總線的結(jié)構(gòu),還可以分為單總線、雙總線和三總線等??偩€的連接與傳送方式總線的連接應(yīng)同時(shí)具有物理和邏輯上的兩種連接。數(shù)據(jù)傳送方式:總線的信息傳輸有串行傳送、并行傳送、復(fù)用傳送和數(shù)據(jù)包傳送4種基本方式??偩€周期總線周期通常指的是通過總線完成一次內(nèi)存讀寫操作或完成一次輸出設(shè)備的讀寫操作所必需的時(shí)間。依據(jù)具體的操作性質(zhì),可以把一個(gè)總線周期區(qū)分為內(nèi)存讀周期、內(nèi)存寫周期,I/O讀周期和I/O讀周期和I/O寫周期4種類型。2、 總線仲裁和數(shù)據(jù)傳送控制3、 系統(tǒng)總線標(biāo)準(zhǔn)舉例第八章節(jié):并行計(jì)算機(jī)體系結(jié)構(gòu)(6學(xué)時(shí))教學(xué)內(nèi)容:1并行處理的概念,需要解決的問題和實(shí)現(xiàn)途徑;2向量處理機(jī)的構(gòu)成;3多處理機(jī)系統(tǒng);4多計(jì)算機(jī)系統(tǒng)。教學(xué)要求:1了解并行處理的概念,需要解決的問題和實(shí)現(xiàn)途徑;2了解向量處理機(jī)的一般組成和工作原理;3了解多處理機(jī)系統(tǒng)可行的構(gòu)建方式和運(yùn)行原理;4了解多計(jì)算機(jī)系統(tǒng)可行的構(gòu)建方式和運(yùn)行原理。知 識 結(jié) 構(gòu) 圖并行計(jì)算機(jī)體系結(jié)構(gòu)并行計(jì)算機(jī)系統(tǒng)分類并行計(jì)算機(jī)的性能并行計(jì)算機(jī)的軟件問題件問題SISD體系結(jié)構(gòu)SIMD體系結(jié)構(gòu)MISD體系結(jié)構(gòu)MIMD體系結(jié)構(gòu)控制模式并行粒度計(jì)算模式通迅方式同步原語硬件性能軟件性能一、 基礎(chǔ)知識與基本概念1、 計(jì)算機(jī)體系結(jié)構(gòu)分類SISD體系結(jié)構(gòu)、SIMD體系結(jié)構(gòu)、MISD體系結(jié)構(gòu)、MIMD體系結(jié)構(gòu)2、 并行計(jì)算機(jī)系統(tǒng)的性能問題硬件性能指標(biāo):延時(shí)(latency)和帶寬(bandwidth)軟件性能指標(biāo):從軟件的角度來看,關(guān)鍵的性能指標(biāo)是加速比(speedup)獲得更高的性能幾種常用的技術(shù):數(shù)據(jù)復(fù)制(data replication)、數(shù)據(jù)預(yù)取(orefetching)多線程(multithreading)技術(shù)、使用無阻塞的寫,當(dāng)執(zhí)行STORE指令時(shí),CPU不必等待STORE指令完成就繼續(xù)運(yùn)行。3、 并行計(jì)算機(jī)系統(tǒng)的軟件技術(shù)1、 并行計(jì)算機(jī)系統(tǒng)的軟件技術(shù)通??赡苌婕?個(gè)關(guān)鍵技術(shù):控制模式、并行粒度、計(jì)算模式、通迅方式、同步原語2、 并行計(jì)算機(jī)系統(tǒng)的性能問題硬件性能指標(biāo):從硬件角度來說,重要的性能指標(biāo)是CPU和輸入/輸出的速度以及互聯(lián)網(wǎng)絡(luò)的功能(延時(shí)和帶寬)。軟件性能指標(biāo):從軟件角度來說,關(guān)鍵的性能指標(biāo)是加速比。獲得更高的性能最直觀的辦法就是給系統(tǒng)增加更多的CPU。但要注意增加CPU時(shí)會(huì)不會(huì)產(chǎn)生明顯的“瓶頸”。3、 并行計(jì)算機(jī)系統(tǒng)的軟件技術(shù)并行計(jì)算機(jī)軟件通??赡苌婕?個(gè)關(guān)鍵技術(shù):控制模式、并行粒度、計(jì)算模式、通信方式和同步原語。二、SIMD計(jì)算機(jī)簡介SIMD單指令流多數(shù)據(jù)流計(jì)算機(jī)分為陣列處理機(jī)和向時(shí)處理機(jī)兩大類。三、基于共享內(nèi)存的多處理機(jī)系統(tǒng)多處理機(jī)系統(tǒng)是具有多個(gè)CPU,并且所有的CPU共享同一個(gè)地址空間的計(jì)算機(jī)系統(tǒng)。多處理系統(tǒng)有時(shí)也被稱為共享內(nèi)存系統(tǒng)。四、基于消息傳遞的多計(jì)算機(jī)系統(tǒng)多計(jì)算機(jī)系統(tǒng)是屬于MIMD中的另外一類系統(tǒng),它能夠使用2 048甚至9 416個(gè)CPU,多計(jì)算機(jī)系統(tǒng)通常是松散耦合的,與多處理機(jī)系統(tǒng)相比結(jié)構(gòu)簡單而且造價(jià)便宜。在多計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)中,每個(gè)CPU都有自己獨(dú)立的物理地址空間,這種體系結(jié)構(gòu)有時(shí)也被稱為分布式內(nèi)存系統(tǒng)。多計(jì)算機(jī)系統(tǒng)中沒有硬件實(shí)現(xiàn)的共享內(nèi)存,各CPU不能通過讀寫共享內(nèi)存進(jìn)行通信,需要另一種不同的通信機(jī)制。大規(guī)模并行處理機(jī)MPP:被用于科學(xué)計(jì)算、工程計(jì)算和其他需要大量計(jì)算的工業(yè)部門,這是事處價(jià)值數(shù)百萬的超級計(jì)算機(jī)系統(tǒng)。大多數(shù)的MPP系統(tǒng)都使用標(biāo)準(zhǔn)的商用CPU作為它們的處理器。工作站集群COW:也被稱為工作站網(wǎng)絡(luò)COW,COW系統(tǒng)是由數(shù)百臺PC機(jī)或者工作站通過商用網(wǎng)絡(luò)連接在一起構(gòu)成的。從體系結(jié)構(gòu)上講,COW和MPP相比,有兩個(gè)不同點(diǎn):一、COW的結(jié)點(diǎn)是更完整的計(jì)算機(jī),計(jì)算機(jī)可以是同構(gòu)也可以是異構(gòu)。結(jié)點(diǎn)都有自己的磁盤,駐留有自己的操作系統(tǒng);并且,一般都有一定的自主性,結(jié)點(diǎn)計(jì)算機(jī)脫離COW照樣能運(yùn)行;二、COW一般采用商售的標(biāo)準(zhǔn)高速局域網(wǎng)或系統(tǒng)域網(wǎng),網(wǎng)絡(luò)通常是與結(jié)點(diǎn)計(jì)算機(jī)的I/O總線相連。COW系統(tǒng)中占主導(dǎo)地位的主要有兩種:集中式的和分散式的。

注意事項(xiàng)

本文(《計(jì)算機(jī)組成原理》教案.doc)為本站會(huì)員(wux****ua)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!