數(shù)電期末模擬題及答案.doc

上傳人:小** 文檔編號:13292904 上傳時間:2020-06-12 格式:DOC 頁數(shù):20 大?。?89KB
收藏 版權(quán)申訴 舉報 下載
數(shù)電期末模擬題及答案.doc_第1頁
第1頁 / 共20頁
數(shù)電期末模擬題及答案.doc_第2頁
第2頁 / 共20頁
數(shù)電期末模擬題及答案.doc_第3頁
第3頁 / 共20頁

下載文檔到電腦,查找使用更方便

5 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《數(shù)電期末模擬題及答案.doc》由會員分享,可在線閱讀,更多相關(guān)《數(shù)電期末模擬題及答案.doc(20頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、數(shù)字電子技術(shù)模擬題一一、單項選擇題(210分)1下列等式成立的是( )A、 A1=A B、 A0=A C、A+AB=A D、A+AB=B2函數(shù)的標準與或表達式是( )A、F=m(1,3,4,7,12) B、F=m(0,4,7,12)C、F=m(0,4,7,5,6,8,9,10,12,13,14,15) D、F=m(1,2,3,5,6,8,9,10,11,13,14,15)3屬于時序邏輯電路的是( )。A、寄存器 B、ROM C、加法器 D、編碼器4同步時序電路和異步時序電路比較,其差異在于后者( )A、沒有觸發(fā)器 B、沒有統(tǒng)一的時鐘脈沖控制 C、沒有穩(wěn)定狀態(tài) D、輸出只與內(nèi)部狀態(tài)有關(guān),與輸入無

2、關(guān)5將容量為2564的RAM擴展成1K8的RAM,需( )片2564的RAM。A、 16 B、2 C、4 D、8 6在下圖所示電路中,能完成邏輯功能的電路有( )。A、 B、 C、 D、7函數(shù)F=C+AB+,無冒險的組合為( )。A、 B=C=1 B、 A=0,B=0 C、 A=1,C=0 D、 B=C=O8存儲器RAM在運行時具有( )。A、讀功能 B、寫功能 C、讀/寫功能 D、 無讀/寫功能9觸發(fā)器的狀態(tài)轉(zhuǎn)換圖如下,則它是:( )A、T觸發(fā)器B、RS觸發(fā)器C、JK觸發(fā)器D、D觸發(fā)器10將三角波變換為矩形波,需選用( )A、多諧振蕩器 B、施密特觸發(fā)器 C、雙穩(wěn)態(tài)觸發(fā)器 D、單穩(wěn)態(tài)觸發(fā)器二

3、、判斷題(110分)( )1、在二進制與十六進制的轉(zhuǎn)換中,有下列關(guān)系:(1001110111110001)B=(9DF1)H( )2、8421碼和8421BCD碼都是四位二進制代碼。( )3、二進制數(shù)1001和二進制代碼1001都表示十進制數(shù)9。( )4、TTL與非門輸入采用多發(fā)射極三極管,其目的是提高電路的開關(guān)速度。( )5、OC與非門的輸出端可以并聯(lián)運行,實現(xiàn)“線與”關(guān)系,即L=L1+L2( )6、CMOS門電路中輸入端懸空作邏輯0使用。( )7、數(shù)字電路中最基本的運算電路是加法器。( )8、要改變觸發(fā)器的狀態(tài),必須有CP脈沖的配合。( )9、容量為2564的存儲器,每字4位,共計256字

4、,1024個存儲單元。( )10、自激多諧振蕩器不需外加觸發(fā)信號,就能自動的輸出矩形脈沖。三、分析計算題(76分)1、如果的最簡與或表達式為是否存在約束條件?如果存在,試指出約束條件。2、下圖為雙4選1數(shù)據(jù)選擇器構(gòu)成的組合邏輯電路,輸入量為A、B、C,輸出邏輯函數(shù)為F1,F(xiàn)2,試寫出F1、F2,邏輯表達式。3、用一片74138譯碼器和門電路實現(xiàn)全加器,寫出真值表,畫出電路圖。4、分析下圖所示電路的邏輯功能,并將結(jié)果填入下表。5、電路如下圖所示,設(shè)起始狀態(tài)Q2Q1=00,問經(jīng)過系統(tǒng)時鐘信號3個CP脈沖作用后,Q2Q1處于什么狀態(tài)?并畫出Q2Q1的波形。6、圖示電路是PAL的一種極性可編程輸出結(jié)構(gòu)

5、,若要求,試用符號“”對該電路矩陣進行恰當?shù)木幊?。四、設(shè)計題(共2小題,1小題12分,2小題8分,共20分)1、 試用正邊沿D觸發(fā)器和門器件設(shè)計一個狀態(tài)轉(zhuǎn)換如02413的模5同步計數(shù)器。并檢查電路的自啟動能力。2、用兩片74LS290異步十進制計數(shù)器芯片設(shè)計一個60進制計數(shù)器的電路,畫出電路連接圖。附:74LS290集成芯片功能表CPR01R02R91R92功能11任一為0清0(QDQCQBQA=0000)任 意11置9(QDQCQBQA=1001)任一為0任一為0計數(shù)五、綜合題(8分)試用8選1數(shù)據(jù)選擇器74151和四位同步二進制加法計數(shù)器74LS161芯片設(shè)計序列信號發(fā)生器,序列信號為11

6、001101(左位在先),畫出電路連線圖。附74LS161四位同步二進制加法計數(shù)器芯片功能表。數(shù)字電子技術(shù)模擬題二一、單項選擇題(210分)1在下列數(shù)據(jù)中,數(shù)值最小的是( )A、 59H B、 130O C、1010111B D、100101118421BCD2函數(shù) 的標準與或表達式是( )A、 F=m(0,1,3,4,7,11,13,15) B、F=m(0,1,6,7,8,9,10,11)C、F=m(0,1,6,7,12,13,14,15) D、F=m(0,1,4,7,12,13,14,15)3典型的五管TTL與非門,輸入端采用多發(fā)射極三極管是為了:A、放大輸入信號 B、實現(xiàn)或邏輯C、提高帶

7、負載能力 D、提高工作速度4電路由TTL門電路組成,F的邏輯表達式是( )。A、B、C、D、5為實現(xiàn)“線與”的邏輯功能,應選用:A、與門 B、與非門 C、傳輸門 D、集電極開路門6下列哪類觸發(fā)器有一次變化現(xiàn)象( )。A、同步RS觸發(fā)器 B、主從JK觸發(fā)器 C、邊沿JK觸發(fā)器 D、邊沿D觸發(fā)器7集成十進制加法計數(shù)器初態(tài)為Q3Q2Q1Q0=1001,經(jīng)過5個CP脈沖后,計數(shù)器狀態(tài)為( )A、0000 B、0100 C、0101 D、1110下面說法錯誤的是( )A、RAM分為靜態(tài)RAM和動態(tài)RAM B、RAM指在存儲器中任意指定的位置讀寫信息C、譯碼電路采用CMOS或非門組成9用容量為16K8位存

8、儲芯片構(gòu)成容量為64K8位的存儲系統(tǒng),需( )片16K8位存儲芯片,需( )根地址線,()根數(shù)據(jù)線。A、 4,16,8 B、4,14,8 C、2,16,8 D、2,14,16 10集成單穩(wěn)態(tài)觸發(fā)器的暫穩(wěn)態(tài)維持時間取決于( )。A、 R、C元件參數(shù) B、所用門電路的傳輸延遲時間C、觸發(fā)脈沖持續(xù)的時間 D、器件本身的參數(shù) 二、判斷題(110分)( )1、8421碼和8421BCD碼都是四位二進制代碼。( )2、二進制數(shù)代碼1000和二進制代碼1001都可以表示十進制數(shù)8。( )3、保險庫有一把鎖,A、B兩名經(jīng)理各有一把鑰匙,必須兩名經(jīng)理同時在才能開鎖。用F表示打開保險庫鎖的狀態(tài),F(xiàn)的邏輯表達式為:

9、( )4、TSL門輸出有三種狀態(tài)。( )5、TG門只用于數(shù)字信號的傳輸。( )6、CMOS門電路中輸入端懸空作邏輯0使用。( )7、要改變觸發(fā)器的狀態(tài),必須有CP脈沖的配合。( )8、掩膜ROM只能改寫有限次。( )9、將三角波變換為矩形波,需選用施密特觸發(fā)器。( )10、矩形脈沖只能通過自激振蕩產(chǎn)生。三、分析計算題(1-5小題每題8分,6小題10分,共50分)1、 電路如圖所示:(1)、按圖直接寫出Y的表達式(2)、根據(jù)反演規(guī)則寫出Y的反函數(shù) (3)、根據(jù)對偶規(guī)則寫出Y的對偶式(4)、寫出Y的最簡與或表達式2222、 組合邏輯電路輸入(X、Y、Z)輸出(L)波形如圖所示,分析該電路的邏輯功能

10、。并用最少的兩輸入與非門實現(xiàn)(無反變量輸入)3、已知某觸發(fā)器的狀態(tài)轉(zhuǎn)換圖,寫出此觸發(fā)器的特性方程,并用D和JK觸發(fā)器實現(xiàn)它。4、電路由JK觸發(fā)器及與非門構(gòu)成,試寫出特性方程、驅(qū)動方程和狀態(tài)方程。該電路若在K輸入處以置0代替Qn,則電路功能是否會改變?5、圖示電路是PAL的一種極性可編程輸出結(jié)構(gòu),若要求,試用符號“”對該電路矩陣進行恰當?shù)木幊獭?、由集成四位比較器74LS85和集成計數(shù)器74LS161構(gòu)成一個定時電路如圖所示,Z是信號輸出端。比較器A3A2A1A0預置為1001,計數(shù)器的數(shù)據(jù)輸入端DCBA預置為0010,試問:(1) 當 Z接在LD端時(RD置1),一個Z脈沖周期內(nèi)包含多少個時鐘

11、脈沖CP?(2) 當 Z接在RD端時(LD置1),一個Z脈沖周期內(nèi)又包含多少個時鐘脈沖CP?簡單寫出分析過程四、設(shè)計題(102分)2、 試用正邊沿JK觸發(fā)器和門器件設(shè)計一個??勺兺綔p計數(shù)器。當X=0時M=3;當X=1時,M=4。檢查電路的自啟動能力。2、用兩片74LS290(異步二-五-十進制加計數(shù)器)芯片設(shè)計一個54進制加計數(shù)器,畫出電路連接圖。附:74LS290集成芯片功能表CPR01R02R91R92功能11任一為0清0(QDQCQBQA=0000)任 意11置9(QDQCQBQA=1001)任一為0任一為0計數(shù)數(shù)字電子技術(shù)模擬題三一、選擇題(210分)1、F=AB+CD的真值表中,F(xiàn)

12、=1的狀態(tài)有:( )a、2個 b、4個 c、6個 d、8個2、在系列邏輯運算中,錯誤的是:( )a、 若A=B,則AB=A b、若1+A=B,則1+A+AB=BAZ10kc、 A+B=B+C,則A=C d、都正確3、雙輸入CMOS與非門如右圖,輸出Z為:( )a、Z=A b、Z= c、Z=0 d、Z=14、欲使一路數(shù)據(jù)分配到多路裝置應選用帶使能端的:( )a、編碼器 b、譯碼器 c、選擇器 d、比較器5、JK觸發(fā)器在CP脈沖作用下,欲使Qn+1=1,則必須使:( )a、J=1,K=0 b、J=0,K=0 c、J=0,K=1 d、J=1,K=16、觸發(fā)器的狀態(tài)轉(zhuǎn)換圖如下,則它是:( )a、 RS

13、觸發(fā)器 b、D觸發(fā)器c、JK觸發(fā)器 d、T觸發(fā)器7、將三角波變換為矩形波,需選用:( )a、施密特觸發(fā)器 b、多諧振蕩器 c、雙穩(wěn)態(tài)觸發(fā)器 d、單穩(wěn)態(tài)觸發(fā)器8、 如 圖 所 示 時 序 邏 輯 電 路 為( )。a、 移位 寄 存 器 b、 同步 二 進 制 加 法 計 數(shù) 器 c、 異 步 二 進 制 減 法 計 數(shù) 器 c、 異 步 二 進 制 加 法 計 數(shù) 器9、 邏 輯 電 路 如 圖 所 示, 當 A=“0”,B=“1” 時,脈 沖 來 到 后 觸 發(fā) 器 ( )。a、置“0” b、保 持 原 狀 態(tài) c、置“1” d、具 有 計 數(shù) 功 能 10、 如圖所示邏輯電路為( )。a、

14、 同步二進制加法計數(shù)器b、 異步二進制加法計數(shù)器c、 同步二進制減法計數(shù)器d、 異步二進制減法計數(shù)器二、判斷題(210分)( )1、在二進制與十六進制的轉(zhuǎn)換中,有下列關(guān)系:(1001110111110001)B=(9DF1)H( )2、8421碼和8421BCD碼都是四位二進制代碼。( )3、二進制數(shù)1001和二進制代碼1001都表示十進制數(shù)9。( )4、TTL與非門輸入采用多發(fā)射極三極管,其目的是提高電路的抗干擾能力。( )5、OC與非門的輸出端可以并聯(lián)運行,實現(xiàn)“線與”關(guān)系,即L=L1+L2( )6、在具有三組與輸入端的與或非門中,當只使用其中的兩組與輸入端時,余下的一組與輸入端應接高電平

15、。( )7、數(shù)字電路中最基本的運算電路是加法器。( )8、要改變觸發(fā)器的狀態(tài),必須有CP脈沖的配合。( )9、容量為2564的存儲器,每字4位,共計256字,1024個存儲單元。( )10、自激多諧振蕩器不需外加觸發(fā)信號,就能自動的輸出矩形脈沖。三、化簡邏輯函數(shù)(12分)1、 (6分)用公式法:2、 (6分)用卡諾圖法:四、組合邏輯電路(18分)1、設(shè)有一組合邏輯部件,不知內(nèi)部結(jié)構(gòu),測得其輸入波形A,B,C與輸出波形L如圖所示,1)試列寫出真值表;2)寫出邏輯表達式;3)畫出由74138譯碼器構(gòu)成邏輯圖。(本大題10分)2、下圖為雙4選1數(shù)據(jù)選擇器構(gòu)成的組合邏輯電路,輸入量為A、B、C,輸出邏

16、輯函數(shù)為F1,F(xiàn)2,試寫出F1,F(xiàn)2,邏輯表達式。(8分)五、時序邏輯電路(20分)1. (8分)設(shè)負邊沿JK觸發(fā)器的初始狀態(tài)為0,CP、J、K信號如圖所示,試畫出Q端的波形。2、(12分)邏輯電路如圖所示,1. 寫出時鐘方程,2. 寫出驅(qū)動方程,3. 求解狀態(tài)方程,4. 列寫狀態(tài)表,5. 已知C脈沖波形,畫出輸出,的波形,判斷該計數(shù)器是加法還是減法?是異步還是同步?(設(shè),的初始狀態(tài)均為“00”)。(12分)六、 綜合題設(shè)計(10分)四位二進制計數(shù)器74161的功能表和邏輯符號如下圖所示。1、 試說明該器件的各引腳的作用。2、 分別用清零法和置數(shù)法和適當?shù)倪壿嬮T構(gòu)造9進制計數(shù)器。數(shù)字電子技術(shù)模

17、擬題一解答及評分標準一、單項選擇題(210分)1、C2、D3、A4、B5、D6、B7、D8、C9、A10、B評分標準:每題2分,做對一個2分,錯誤不給分。二、判斷題(110分)1、2、3、4、5、6、7、8、9、10、評分標準:每題1分,做對一個1分,錯誤不給分。三、分析計算題(76分)1、,(3分)要使則F中含有無關(guān)項(1分),無關(guān)項為:(3分)。2、解答如下:(寫對一個3.5分)3、全加器真值表列出見右圖(3分)Ci-1 Bi AiSiCi0 0 0000 0 1100 1 0100 1 1011 0 0101 0 1011 1 0011 1 111電路連對4分,其中使能端接對1.5分(每

18、個0.5分),信號輸入端接對0.5分,輸出接對2分(每個1分)。4、Y的表達式如下:寫出三態(tài)門輸出1分,真值表一個1.5分,共6分。5、輸出波形圖中兩個T觸發(fā)器由于信號T=,都是T觸發(fā)器。只要受到時鐘脈沖信號的觸發(fā),觸發(fā)器就翻轉(zhuǎn)。但是第二個觸發(fā)器的時鐘脈沖信號應為CP2=+CP,只有當1=0時,第二個觸發(fā)器才會隨著CP脈沖由01,得到上升沿觸發(fā)而改變狀態(tài)。畫出的Q1Q2波形如上圖(b)所示。(分析2分)從工作波形圖可知,經(jīng)過系統(tǒng)時鐘脈沖信號3個CP脈沖作用后,Q2Q1處于11狀態(tài)。(1分),波形畫對4分。6、方案之一:(4分),編程畫對3分。四、設(shè)計題(共2小題,1小題12分,2小題8分,共2

19、0分)1、解:設(shè)計步驟如下:(1)確定觸發(fā)器個數(shù)K。K=3,因為狀態(tài)數(shù)N=5,符合2K-1N2K。電路狀態(tài)用Q3Q2Q1表示。(1分)(2)列狀態(tài)轉(zhuǎn)換真值表。根據(jù)D觸發(fā)器的次態(tài)方程,列狀態(tài)轉(zhuǎn)換真值表,如下表表示。(3分),各個量填對計0.5分。狀態(tài)轉(zhuǎn)換真值表Q3Q2Q1D3D2D1001000100100011010001001000110010001001000110(3)求激勵輸入方程組。首先要根據(jù)狀態(tài)轉(zhuǎn)換真值表,畫D3、D2、D1的卡諾圖,然后通過卡諾圖化簡得到激勵輸入方程。D3、D2、D1的卡諾圖如下圖所示。經(jīng)過卡諾圖化簡得到激勵輸入方程如下:驅(qū)動方程一個1分,共計3分。(4)畫電路圖

20、。由激勵輸入方程組,可畫電路圖如下圖所示。(3分)(5)檢查能否自啟動。首先將非工作狀態(tài)101,110,111分別代入激勵方程D3、D2、D1中,然后根據(jù)D觸發(fā)器次態(tài)方程,可知所有的非工作狀態(tài)都能進入工作狀態(tài),即101001;110101001;111001。因此電路可以自啟動。(1分)(6)畫完整狀態(tài)轉(zhuǎn)換圖如下圖所示。(1分)2、連接電路如圖所示:評分標準:清零端接對各2分,共4分;置位端接對各1分,共2分,CPB接對各0.5分,共1分,高位CPA接對1分。五、綜合題(8分)解:由于序列信號的長度N=8,因此首先要將74LS161作為一個模8計數(shù)器使用。(1分)當74LS161芯片的輸入端P

21、、T、都接高電平“1”時,芯片就是一個模16計數(shù)器,QDQCQBQA的狀態(tài)號從0、1、2直至15。如果不使用輸出端QD,則QCQBQA的狀態(tài)號從0、1、2直至7。在這種情況下,芯片就可當作模8計數(shù)器使用。(2分)設(shè)8選1數(shù)據(jù)選擇器的地址信號輸入端從高到低為C、B、A,而74LS161芯片的4個數(shù)據(jù)輸出端從高到低為QD、QC、QB、QA。只需將QA接A,QB接B,QC接C,(2分)數(shù)據(jù)選擇器的8個數(shù)據(jù)輸入端X0至X7分別接1、1、0、0、1、1、0、1就可以實現(xiàn)設(shè)計目的。(2分)電路圖如下圖所示,圖中F為序列信號輸出端。(圖中D、C、B、A接地,是為了避免干擾信號進入。)(1分)序列信號發(fā)生器電

22、路圖數(shù)字電子技術(shù)模擬題二參考答案及評分標準一、單項選擇題(210分)1C2D3D4C5D6B7B8C9A10。A評分標準:答對1個記2分,答錯不得分。二、判斷題(110分)12345678910。評分標準:答對1個記1分,答錯不得分。三、分析計算題(1-5小題每題8分,6小題10分,共50分)1、(1) 3分 (2) 1分(3) 1分(4) 3分評分標準如上,若方法不同,按結(jié)論酌情給分。2、8分(1)表達式: 4分邏輯功能:判奇電路 2分電路圖:2分 P H0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 101101110若方法不同,按結(jié)論酌情給分。3、8分(1)

23、狀態(tài)真植表:(4分)(2) 特性方程: (1分) (3)JK觸發(fā)器的特性方程: (1分)(4)D觸發(fā)器的特性方程: (1分)圖(1分)若方法不同,按結(jié)論酌情給分。4、8分JK觸發(fā)器的特性方程: 2分驅(qū)動方程: 2分狀態(tài)方程: 2分若 1分電路功能會改變。 1分若方法不同,按結(jié)論酌情給分。5、8分評分標準:第1行編程 3分; 第2行編程 3分第3、4行編程 1分; 第5行編程 1分若方法不同,按結(jié)論酌情給分。6、10分(1) 一個Z脈沖周期內(nèi)包含8個時鐘脈沖CP。 5分(2) 一個Z脈沖周期內(nèi)又包含9個時鐘脈沖CP。 5分若方法不同,按結(jié)論酌情給分。四、設(shè)計題(102分)1、 設(shè)計題(10分)(

24、1)狀態(tài)表:6分X 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 00 00 1 1 10 00 11 0(2)表達式 2分(3)檢查自啟動能力:當時,下一個狀態(tài)為11,所以電路有自啟動能力。 1分(4)電路圖 1分若方法不同,按結(jié)論酌情給分。2、設(shè)計題(10分)按上面連線正確的得滿分。若方法不同,按結(jié)論酌情給分。具體給分步驟如下:連成100進制得8分,控制信號得2分。數(shù)字電子技術(shù)模擬題三參考答案一、 選擇題(20分)1、c ;2、c;3、d;4、b;5、a;6、b;7、a;8、a;9、d;10、b二、 判斷題(20分)1、;2、;3、;4、;5、;6、;7、;8、;9、;10、。三、 1、(6分)L=ABC2、(6分)CBAL00010010010001111000101111011110四、 1、(10分)2、(8分)五、1.(8分)2. (12分)1)CP0=C,(下降沿觸發(fā)) CP1=Q0n(下降沿觸發(fā))2)3) 狀 態(tài) 表 波 形 圖功能:4位二進制異步加法計數(shù)器六、(10分)1. RD為清零端,異步清零;LD為置數(shù)控制端,同步置數(shù);A、B、C、D為置數(shù)輸入端,A為低位,D為高位;QA、QB、QC、QD為輸出端,QA為低位、QD為高位;RCO為輸出使能控制端。2、

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔

相關(guān)搜索

關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!