數(shù)電往年試題

上傳人:奇異 文檔編號:25194146 上傳時間:2021-07-22 格式:DOCX 頁數(shù):34 大?。?85.29KB
收藏 版權(quán)申訴 舉報 下載
數(shù)電往年試題_第1頁
第1頁 / 共34頁
數(shù)電往年試題_第2頁
第2頁 / 共34頁
數(shù)電往年試題_第3頁
第3頁 / 共34頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《數(shù)電往年試題》由會員分享,可在線閱讀,更多相關(guān)《數(shù)電往年試題(34頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、中南大學(xué)信息院數(shù)字電子技術(shù)基礎(chǔ)1一、填空題:(每空1分,共15分)1 .邏輯函數(shù)y = Ab + c的兩種標(biāo)準(zhǔn)形式分別為()、( )。2 .將2004個“1”異或起來得到的結(jié)果是()。3 .半導(dǎo)體存儲器的結(jié)構(gòu)主要包含三個部分,分別是()、()、( )。4 . 8位D/A轉(zhuǎn)換器當(dāng)輸入數(shù)字量 10000000為5vo若只有最低位為高電平,則輸出電壓為 ()v;當(dāng)輸入為10001000,則輸出電壓為()V。5 .就逐次逼近型和雙積分型兩種A/D轉(zhuǎn)換器而言,()的抗干擾能力強(qiáng),()的轉(zhuǎn)換速度快。6 .由555定時器構(gòu)成的三種電路中,()和()是脈沖的整形電路。7 .與PAL相比,GAL器件有可編程的輸

2、出結(jié)構(gòu),它是通過對()進(jìn)行編程設(shè)定其()的工作模式來實現(xiàn)的,而且由于采用了()的工藝結(jié)構(gòu),可以重復(fù)編程,使它的通用性很好,使用更為方便靈活。二、根據(jù)要求作題:(共15分)1. 1. 將邏輯函數(shù) P=AB+AC寫成與或非型表達(dá)式,并用集電極開路門來實現(xiàn)。2. 2.圖1、2中電路均由CMOS門電路構(gòu)成,寫出 P、Q的表達(dá)式,并畫出對應(yīng) A、B、 C的P、Q波形。三、分析圖3所示電路,寫出F1、F2的邏輯表達(dá)式,說明電路的邏輯功能。圖中所用器件是8選1數(shù)據(jù)選擇器 74LS151。(10分)ARCPB圖2四、設(shè)計一位十進(jìn)制數(shù)的四舍五入電路(采用 8421BCD碼)。要求只設(shè)定一個輸出,并畫出用最簡與非

3、門實現(xiàn)的邏輯電路圖。(15分)(8分)五、已知電路及 CP、A的波形如圖5 (a) (b)所示,設(shè)觸發(fā)器的初態(tài)均為“ 0”,試畫出輸出端B和C的波形。DcZru-LTumjirLTBC六、用T觸發(fā)器和異或門構(gòu)成的某種電路如圖6 (a)所示,在示波器上觀察到波形如圖 6(b)所示。試問該電路是如何連接的?請在原圖上畫出正確的連接圖,并標(biāo)明T的取值。圖 6(b)圖63)(6分)七、電路如圖 7所示,其中 RA=RB=10k Q ,C=0.1畫,試問:1 .在Uk為高電平期間,由555定時器構(gòu)成的是什么電路,其輸出 U0的頻率f0=?2 .分析由JK觸發(fā)器FF1、FF2、FF3構(gòu)成的計數(shù)器電路,要求

4、:寫出驅(qū)動方程和狀態(tài)方程, 列出狀態(tài)轉(zhuǎn)換表,畫出完整的狀態(tài)轉(zhuǎn)換圖;3 .設(shè)Q3、Q2、Q1的初態(tài)為000, Uk所加正脈沖的寬度為 Tw=6/f0 ,脈沖過后 Q3、Q2、Q1將保持在哪個狀態(tài)?(共15分)CP圖7八、圖8所示是16*4位ROM和同步十六進(jìn)制加法計數(shù)器74LS161組成的脈沖分頻電路。ROM中的數(shù)據(jù)見表8所示。試畫出在 CP信號連續(xù)作用下的 D3、D2、D1、D0輸出的電壓波形,并說明它們和 CP信號頻率之比。(16分)CP ET EP0 12 3 D D D D0 12 3 A A A AQOQIQ2Q3741610 12 3D D D D_ _ CRd LD地址輸入A3 A

5、2 A1 A00 0 0 00 0 0 10 0 100 0 110 10 00 10 10 1100 11110 0 010 0 110 1010 11110 0110 1數(shù)據(jù)輸出D3 D2 D1 D0 1111 0 0 0 0 0 0 11 0 10 0 0 10 1 10 10 10 0 1 10 0 0 1111 110 0 0 0 0 1 0 0 10 0 0 0 1 0 10 0111011110 1110 0 0 0CP波形如圖所示:j_irLJiJirLrL,rLrL-rLrLR答案一、填空(每空1分,共15分)1 丫(ABC) =、m(i =1,3,4,5,7),Y(ABC)

6、 =Mi(i =0,2,6) 2 . 03 .地址譯碼器、存儲矩陣、輸出緩沖器4 . 0.039、5.315 .雙積分型、逐次逼近型6 .施密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器7 .結(jié)構(gòu)控制字、輸出邏輯宏單元、 E2CMOS二、根據(jù)要求作題:(共15分)1. p = A + BC, oc門線與實現(xiàn)圖略- -n -2 P=AC+BC;Q=A + BC + B+Q CFi mi(i =1,2,4,7);(1)列真值表:F2 =、mi (i = 1,2,3,7)AB CF1F2000Q001110101101101100101C001100011111(2)邏輯功能:全減器四、設(shè)用A3A2A1A0表示該數(shù),輸出

7、 Fo列出真值表如下:耳A2AlMFQ0Q0000010Q0100口011001000口1011口11010111110Q01100111010X1011X11Q0X11Q1X1110X1111X邏輯表達(dá)式為:F =、m(5,6,7,8,9) = A3 A2A0 A2A1邏輯圖如下:五、即 _TL_run_Ln_rL_rLJLII_Lnn n六、T=1,連線F=Cp2Q,圖略。七、1.多諧振蕩器f0=476Hz ;2.寫出驅(qū)動方程 3分,狀態(tài)方程3分,列出狀態(tài)轉(zhuǎn)換 000-100-110-111-011-001 回到100;J1 =Q;K1 =Q;;J2 =Q;;K2 =Q;;J3 =Q;K3

8、 = Q1nn 中 n n n n nQ1Q2 Q1 Q2Q1 = Q2q;1 =q!q; q:q2 =q3q3 1 = QnQn - Q7q33. Q3Q2Q1=100 (3 分);八、 D3、D2、D1、D0 頻率比分別是 1/15、3/15、5/15、7/15;cpdo L_n_TL_L_DI |D2 | D3 |中南大學(xué)信息院數(shù)字電子技術(shù)基礎(chǔ)2一、填空題:(每空1分,共16分)1 .邏輯函數(shù)有四種表示方法,它們分別是()、()、()和()。2 .將2004個“1”異或起來得到的結(jié)果是()。3 .目前我們所學(xué)的雙極型集成電路和單極型集成電路的典型電路分別是()電路和()電路。4 .施密特

9、觸發(fā)器有( )個穩(wěn)定狀態(tài).,多諧振蕩器有( )個穩(wěn)定狀態(tài)。5.已知Intel2114 是1K* 4位的RAM集成電路芯片,它有地址線()條,數(shù)據(jù)線()條。6 .已知被轉(zhuǎn)換的信號的上限截止頻率為10kHz,則A/D轉(zhuǎn)換器的采樣頻率應(yīng)高于 ()kHz;完成一次轉(zhuǎn)換所用的時間應(yīng)小于()。7 . GAL器件的全稱是(),與PAL相比,它的輸出電路是通過編程設(shè)定其()的工作模式來實現(xiàn)的,而且由于采用了()的工藝結(jié)構(gòu),可以重復(fù)編程,使用更為方便靈活。二、根據(jù)要求作題:(共16分)3. 1. 試畫出用反相器和集電極開路與非門實現(xiàn)邏輯函數(shù)Y = AB + BC。2、圖1、2中電路由TTL門電路構(gòu)成,圖3由CM

10、OS門電路構(gòu)成,試分別寫出 F1、F2、 F3的表達(dá)式。F2F3三、已知電路及輸入波形如圖4 (a) (b)所示,其中FF1是D鎖存器,F(xiàn)F2是維持-阻塞D觸發(fā)器,根據(jù)CP和D的輸入波形畫出Q1和Q2的輸出波形。設(shè)觸發(fā)器的初始狀態(tài)均為0。(8分)QLQ1Q2四、分析圖5所示電路,寫出Z1、Z2CPQ1-Q2的邏輯表達(dá)式,列出真值表,說明電路的邏輯功能。(10 分)五、設(shè)計一位8421BCD碼的判奇電路,當(dāng)輸入碼為奇數(shù)時,輸出為 1,否則為0。要求使 用兩種方法實現(xiàn):(1)用最簡與非門實現(xiàn),畫出邏輯電路圖;(2)用一片8選1數(shù)據(jù)選擇器74LS151加若干門電路實現(xiàn),畫出電路圖。(20 分)六、電

11、路如圖 7所示,其中 RA=RB=10k Q ,C=0.1畫,試問:1.在Uk為高電平期間,由555定時器構(gòu)成的是什么電路,其輸出 U0的頻率f0=?2.分析由JK觸發(fā)器FF1、FF2、FF3構(gòu)成的計數(shù)器電路,要求:寫出驅(qū)動方程和狀態(tài)方程, 畫出完整的狀態(tài)轉(zhuǎn)換圖;4. 2. 設(shè)Q3、Q2、Q1的初態(tài)為000, Uk所加正脈沖的寬度為 Tw=5/f0 ,脈沖過后 Q3、Q2、Q1將保持在哪個狀態(tài)?(共15分)七、集成4位二進(jìn)制加法計數(shù)器 74161的連接圖如圖8所示,LD是預(yù)置控制端;D0、D1、D2、D3是預(yù)置數(shù)據(jù)輸入端; Q3、Q2、Q1、Q0是觸發(fā)器的輸出端,Q0是最低位,Q3是最高位;L

12、D為低電平時電路開始置數(shù),LD為高電平時電路計數(shù)。試分析電路的功能。要求:(1)列出狀態(tài)轉(zhuǎn)換表;(2)檢驗自啟動能力;(3)說明計數(shù)模值。(15分)一 QO QI Q2 Q3LDEP1T而 74161ETCP do DI D2 D3 C1 1 圖8答案、填空(每空1分,共16分)1. 真值表、邏輯圖、邏輯表達(dá)式、卡諾圖;2. 0;3. TTL、 CMOS ;4. 兩、0 ;5. 10、4 ;6. 20、50 d S;7. 通用陣列邏輯、輸出邏輯宏單元、E2CMOS;、根據(jù)要求作題:(共16分)1 .A -B C2 R=A + B;F2=C;9 +vccR& 09 0F3 = AC + BCCP

13、DQ1Qi四、(i)表達(dá)式Z1 = m1 m2 m4 m7Z2 = m1 m2 m3 m7(2)真值表AB C:Z1 Z20 00000 0 110 1 011_0 1 1011 00101 0 10011 00011111(3)邏輯功能為:全減器五、首先,根據(jù)電路邏輯描述畫出卡諾圖:0CD 01 11 101 01011010XXXX10XX(1)最簡“與一或式”為: Y = ABCD+ABCD + BCD+BCD + BCD;(2) “與非與非式為: Y = ABCD ABCD BCD BCD BCD(與非門實現(xiàn)圖略)74LS151S 卜DiD;DiDtD”illTiIi六、(1)(1)多

14、諧振蕩器;fo(2)1 = 481Hz(RA 2RB)Cln 2(2)驅(qū)動方程:Ji =Q2;Ki =Q3 狀態(tài)方程:J =Ql;K2 =QiJJ3 =Q2;k3 =q2J n 4 _ Z_Q3 Q2Q3 +Q2Q3JQ;* =QiQ! +Q1Q2一 n 4 一 一 一 一Q1 Q2 Q1 + Q1 Q3狀態(tài)轉(zhuǎn)換圖:(3)初態(tài)為000,五個周期后將保持在100狀態(tài)。(2)可以自啟動;(3)模=8;中南大學(xué)信息院數(shù)字電子技術(shù)基礎(chǔ)一、填空(每題1分,共10分)1 . TTL門電路輸出高電平為V,閾值電壓為V ;2 .觸發(fā)器按動作特點可分為基本型、 、和邊沿型;3 .組合邏輯電路產(chǎn)生競爭冒險的內(nèi)因是

15、 4 .三位二進(jìn)制減法計數(shù)器的初始狀態(tài)為101,四個CP脈沖后它的狀態(tài)為 ;5 .如果要把一寬脈沖變換為窄脈沖應(yīng)采用 觸發(fā)器;6 . RAM的擴(kuò)展可分為 、擴(kuò)展兩種;7 . PAL是 可編程,EPROM!可編程;8 . GAL中的OLMCT組態(tài)為專用輸入、 、寄存反饋輸出等幾種工作模式;9 .四位DAC勺最大輸出電壓為 5V,當(dāng)輸入數(shù)據(jù)為0101時,它的輸出電壓為 V10 .如果一輸入電壓的最大值為1V,采用3位ADC時它的量化階距為 V。二、寫出下列各圖中的輸出邏輯表達(dá)式,并化為最簡與或式;(G1 G2 為 OC 門,TG1 TG2 為 CMOS 傳輸門)(10 分)G1B圖la圖lb圖2三

16、、由四位并行進(jìn)位全加器74LS283構(gòu)成圖2所示:(15分)1. 當(dāng) A=0,X 3X2XiX0=0011,Y3Y2YiYo=0100 求 Z3Z2ZiZo=?,W=?2. 2. 當(dāng) A=1,X 3X2XiXo=1001,Y3Y2YiY 0=0101 求 Z3Z2ZiZo=?,W=?3. 3. 寫出 X(X3X2XiXo),Y(Y 3Y2YiYo),A 與 Z(Z3Z2ZiZo), W 之間的算法公式,并指出其功能.W 區(qū) 4 Z 3溝溝溝Xo Yj Y2 巧/ A(15 分)四、試畫出圖3在CP脈沖作用下Q1,Q2,Y對應(yīng)的電壓波形。(設(shè)觸發(fā)器的初態(tài)為 0,畫6個完整的CP脈沖的波形)YCP

17、圖3五、由可擦可編程只讀存儲器EPROM2716構(gòu)成的應(yīng)用電路如圖所示。1.計算EPROM2716的存儲容量;2. 2.3. 3.當(dāng)ABCD=0110時,數(shù)碼管顯示什么數(shù)字; 寫出Z的最小項表達(dá)式,并化為最簡與或式;A5AaA1A0。了0000FCH0001dOH0010DAHOOHF2H01000101B6HOHOBEH01111000EDHFEH1001F6H(15 分)AB CD六、由同步十進(jìn)制加法計數(shù)器 74LS160構(gòu)成一數(shù)字系統(tǒng)如圖所示,假設(shè)計數(shù)器的初態(tài)為0,測得組合邏輯電路的真值表如下所示:(20分)ABCZ00010010010001111000101011011111真值表1

18、 . 1.畫出74LS160的狀態(tài)轉(zhuǎn)換圖;2 . 2.畫出整個數(shù)字系統(tǒng)的時序圖;口口3 .如果用同步四位二進(jìn)制加法計數(shù)器74LS161代替74LS160,試畫出其電路圖(要求采用置數(shù)法);4 .試用一片二進(jìn)制譯碼器 74LS138輔助與非門實現(xiàn)該組合邏輯電路功能。 七、時序PLA電路如圖所示:1、 1、求該時序電路的驅(qū)動方程、狀態(tài)方程、輸出方程;2、 2、畫該電路的狀態(tài)轉(zhuǎn)換表;3、 3、若X為輸入二進(jìn)制序列 10010011,其波形如圖所示,畫 Q1、Q2和Z的波形;4、 4、說明該電路的功能。(16分)CP TLrLLrLnTLH_L位-I Hi_i-!QQi答案一、一、填空題:1. 1.3

19、.4 V、1.4 V ;2. 2.同步型、主從型;3. 3.邏輯器件的傳輸延時:4. 4.001 ;5. 5.積分型單穩(wěn)態(tài) :6. 6.字?jǐn)U展、位擴(kuò)展;7. 7.與陣列、或陣列:8. 8.組合輸出;9. 9.5/3 V ;10. 10. 1/7 V: y = AB Be = Ab BC(2) Z =Ab二、(1)A = 0 時:Z = X + Y = 0111; W = Co = 0;(2)A=1 時:Z =X +Y+1 =0100; W=Co = 0;(3)電路功能為有符號數(shù)求和運算:W =Co A - Z=X+YA + A四、123456CP Q InpIII III4iPII4RIIIi

20、IkdIII1FLU : U存儲容量為:1KX8;數(shù)碼管顯示“ 6”;Z = m7 = ABCD .Or Y五、(1) (1)(2) (2)(3) (3)1.狀態(tài)轉(zhuǎn)換圖QjQjQiQ(2.3.4.七、(1)驅(qū)動方程和狀態(tài)方程相同:Q;*=D2 =X Q2 Q1= Qin+ =Di =X Q2 Qi輸出方程:Z 二X Q2 Qi X Q2 Qi(2)狀態(tài)轉(zhuǎn)換圖:CP忌-uUTLn_LTLLLQiQ&Z(3)電路功能描述: 為“1”,否則,輸出為“2位不同數(shù)碼串行檢測器,當(dāng)串行輸入的兩位數(shù)碼不同時,輸出 0”。中南大學(xué)信息院數(shù)字電子技術(shù)基礎(chǔ)4一、填空(每題1.如圖1所示,2分,共20分)A=0 時

21、,Y= ;A=1 , B=0 時,Y=2. Y = AB+AC , Y的最簡與或式為0|P3.如圖2所示為TTL的TSL門電路,EN=0時,Y為,EN=1 時,Y=4.觸發(fā)器按邏輯功能可分為RSF、JKF、和DF;5.四位二進(jìn)制減法計數(shù)器的初始狀態(tài)為0011,四個CP脈沖后它的狀態(tài)為6. EPROM2864的有 地址輸入端,有數(shù)據(jù)輸出端;兩種;7.數(shù)字系統(tǒng)按組成方式可分為8. GAL 是可編程,GAL中的OLMC稱9.四位DAC的最大輸出電壓為5V,當(dāng)輸入數(shù)據(jù)為0101時,它的輸出電壓為V;10.如果一輸入電壓的最大值為1V,采用3位ADC時它的量化階距為 V。10K圖1、試分析如圖3所示的組

22、合邏輯電路。EN圖2(10 分)1 .寫出輸出邏輯表達(dá)式;2 .化為最簡與或式;3 .列出真值表;4 .說明邏輯功能。三、試用一片74LS138輔以與非門設(shè)計一個 BCD碼素數(shù)檢測電路,要求輸入大于1的素數(shù)時電路輸出為1,否則輸出為0 (要有設(shè)計過程)。(10分)四、試畫出下列觸發(fā)器的輸出波形(設(shè)觸發(fā)器的初態(tài)為 0)。(12分)1.CP2.CP五、時序PLA電路如圖所示:(16分)1 .寫出該時序電路的驅(qū)動方程、狀態(tài)方程、輸出方程;2. 2.畫電路的狀態(tài)轉(zhuǎn)換表;3.若X為輸入二進(jìn)制序列10010011,其波形如圖所示,畫 Qi、Q2和Z的波形;3. 3.說明該電路的功能。七、如圖所示為一跳頻信

23、號發(fā)生器,其中 CB555為555定時器,六、試用74LS161設(shè)計一計數(shù)器完成下列計數(shù)循環(huán)(10分)74LS194為四位雙向移位寄存器,74LS160為十進(jìn)制加法計數(shù)器(22分)1. CB555構(gòu)成什么功能電路?2 .當(dāng)2K的滑動電阻處于中心位置時,求 CP2頻率?3 .當(dāng)74LS194的狀態(tài)為0001,畫出74LS160的狀態(tài)轉(zhuǎn)換圖,說明它是幾進(jìn)制計數(shù) 器,并求輸出Y的頻率。4 .已知74LS194工作在循環(huán)右移狀態(tài),當(dāng)它的狀態(tài)為 0001,畫出74LS194的狀態(tài)轉(zhuǎn)換圖;5. 5.試說明電路輸出 Y有哪幾種輸出頻率成份?每一頻率成份持續(xù)多長時間?一、填空題:11. 1. Y = 0、Y

24、= 1 :12. 2.Y = A BC;13. 3.高陽態(tài)、Y = A;14. 4.工、TF;15. 5.1111 ;16. 6.13 個、8;17. 7.組合邏輯電路、時序邏輯電路18. 8.與陣列、輸出邏輯宏單元19. 9.5/3 ;20. 10. 1/7 :(1) 邏輯表達(dá)式S = m1 m2 m4 m7Co = m3 m5 m6 m?(2)最簡與或式:(3) 真值表A B CiSCo0 0 0000 0 1100 1 0100 1 1011 0 0101 0 1011 1 0011 1 111(4)邏輯功能為:全加器。(1) (1) 真值表AB CD GOOD00 00 100 010

25、oofin-0 10 0o-0 10 1I0 11000 11111 00 0口1 00 1F6-0(2)邏輯表達(dá)式:Y =m2 +m3 +m5 +m7 =Y2 Y3 Y5 Y7而Y1Y2Y3Y4Y5一Y6F(3) 用74LS138和與非門實現(xiàn)如下:A2AO74LS138SIS2S3四、2.五、(1)驅(qū)動方程和狀態(tài)方程相同:n 平-Q2 = D2=X Q2 Q1= 9n 書=D1 =X Q2 Q1輸出方程:Z =X Q2 Qi-X Q2 Q1 (2)狀態(tài)轉(zhuǎn)換圖:12 a 45(57 sRd -rmnnfLrLrLrLIliI.I(3)電路功能描述:2位不同數(shù)碼串行檢測器, 當(dāng)串行輸入的兩位數(shù)碼不同時,輸出為“1”,否則,輸出為“ 0”。六、計數(shù)脈沖輸入七、(1)(1)多諧振蕩器;(2) (R1 2R2)Cln2.124fY = MHz = MHz(3)狀態(tài)轉(zhuǎn)換圖如下;74LS160構(gòu)成九進(jìn)制計數(shù)器;93(5 )可輸出4種頻率的信號,它們的頻率分別為:(4 ) 74LS194構(gòu)成電路的狀態(tài)轉(zhuǎn)換圖:4/3MHz、3/2 MHz 、12/7 MHz 、2 MHz ;

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔

相關(guān)搜索

關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!