歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

《模塊測試》PPT課件

  • 資源ID:21621471       資源大?。?span id="67r722p" class="font-tahoma">296.50KB        全文頁數(shù):25頁
  • 資源格式: PPT        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

《模塊測試》PPT課件

Verilog H DL語 法 和 設(shè) 計(jì) 進(jìn) 階 -仿 真 測 試武 斌 Verilog H DL測 試 模 塊 : 用 Verilog HDL描 述 的 模 塊 , 可 以 用 來 產(chǎn) 生測 試 信 號 序 列 并 可 以 接 收 被 測 試 模 塊 的 信 號 ,用 于 驗(yàn) 證 所 設(shè) 計(jì) 的 模 塊 是 否 能 正 常 運(yùn) 行 往 往不 可 綜 合 成 具 體 門 級 電 路 。 outab slselbselansl Verilog H DL模 塊 的 測 試被 測 模 塊激 勵(lì) 和 控制 信 號 輸 出 響 應(yīng)和 驗(yàn) 證 建 立 時(shí) 鐘reg clk;initial begin clk=0; #(period) forever #(period/2) clk=!clk; end reg clk;always begin #period/2 clk=0; #period/2 clk=1;end 建 立 信 號 reg 7:0 ain, bin; reg clock;initial begin clock = 0; ain = 0; bin=3; end always #5 clock = clock;always (posedge clock) begin ain = ain + 2; bin = bin +5; end 建 立 強(qiáng) 制 激 勵(lì)initial begin #10 assign q= 1; #20 deassign q; end initial begin # 10 force q=0; # 20 release q; end 在 以 上 兩 個(gè) 例 子 中 , 在 10到 20 這 個(gè) 時(shí) 間 段 內(nèi) , 信號 被 強(qiáng) 制 賦 值 , 而 別 處 對 該 變 量 的 賦 值 均 無 效 。force的 賦 值 優(yōu) 先 級 高 于 assign。如 果 先 使 用 assign, 再 使 用 force對 同 一 信 號 賦 值 ,則 信 號 的 值 為 force所 賦 的 值 加 法 器 測 試 模 塊timescale 10ns/1nsinclude “myadder.v”module test; wire 8:0 sumout; reg 7:0 ain, bin; reg clock;initial begin clock = 0; ain = 0; bin=3; end always #5 clock = clock; always (posedge clock) begin ain = ain + 2; bin = bin +5; end 仿 真 波 形 2 64 83 8 13 100 2823183 10 17 383124 存 儲(chǔ) 器 建 模 ROMtimescale 1ns/10ps module myrom(read_data,addr,read_en_); input read_en_; input 3:0 addr; output 3:0 read_data; reg 3:0 read_data;reg 3:0 mem 0:15;initial $readmemb(“my_rom_data”,mem);always (addr or read_en_) if(!read_en_) read_data=memaddr; endmodule my_rom_data 0000 0101 1100 0011 1101 0010 0011 1111 1000 1001 1000 0001 1101 1010 0001 1101 存 儲(chǔ) 器 建 模 RAMRAM模 型 比 ROM模 型 稍 微 復(fù) 雜 : 它 必 須 具 有 雙 向 總 線 實(shí) 現(xiàn) 讀 寫 能 力 ; 存 儲(chǔ) 器 的 加 載 使 用 循 環(huán) 把 值 賦 給 存 儲(chǔ) 器 數(shù) 組 。 for(i=0;imemsize;i=i+i) / initialize memory memai=wordsize1b1; 調(diào) 用 $readmem系 統(tǒng) 任 務(wù) 。 /從 文 件 mem_file.txt 中 , 把 初 始 數(shù) 據(jù) 存 入 存 儲(chǔ)器 (mem)的 每 個(gè) 單 元 $readmemb(“mem_file.txt”,mem); 注 意 : 上 面 兩 項(xiàng) 必 須 寫 在 initial 塊 中 , 加 載 這 些 初始 化 數(shù) 據(jù) 不 需 要 時(shí) 間 。 else begin # (5 * timeslice) read = 1; # (timeslice) read = 0; end always (posedge ack) begin DataToRam = DataToRam + 2; addr = addr + 1; endendmodule /信 號 模 塊 xh1( .clock( clk), .reset(rst), .ack(ackn) . . . . .); . . . . ./可 綜 合 模 塊 mysj1(. clock(clk), reset(rst),.ack(ackn) . . .); . . . . . /外 圍 模 塊 ram1(.read(rd), .write(wrt), .data(databus) . . .); . . . . .endmodule 設(shè) 計(jì) 示 例 -仿 真 宏 定 義 stim,可 使 源 程 序 簡 潔定 義 事 件 end_first_pass 設(shè) 計(jì) 示 例 三 ( 續(xù) ) 仿 真 時(shí) 序 仿 真 時(shí) 序

注意事項(xiàng)

本文(《模塊測試》PPT課件)為本站會(huì)員(san****019)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!