《模塊測試》PPT課件

上傳人:san****019 文檔編號:21621471 上傳時間:2021-05-06 格式:PPT 頁數(shù):25 大小:296.50KB
收藏 版權(quán)申訴 舉報 下載
《模塊測試》PPT課件_第1頁
第1頁 / 共25頁
《模塊測試》PPT課件_第2頁
第2頁 / 共25頁
《模塊測試》PPT課件_第3頁
第3頁 / 共25頁

下載文檔到電腦,查找使用更方便

9.9 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《《模塊測試》PPT課件》由會員分享,可在線閱讀,更多相關(guān)《《模塊測試》PPT課件(25頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、Verilog H DL語 法 和 設(shè) 計 進(jìn) 階 -仿 真 測 試武 斌 Verilog H DL測 試 模 塊 : 用 Verilog HDL描 述 的 模 塊 , 可 以 用 來 產(chǎn) 生測 試 信 號 序 列 并 可 以 接 收 被 測 試 模 塊 的 信 號 ,用 于 驗 證 所 設(shè) 計 的 模 塊 是 否 能 正 常 運 行 往 往不 可 綜 合 成 具 體 門 級 電 路 。 outab slselbselansl Verilog H DL模 塊 的 測 試被 測 模 塊激 勵 和 控制 信 號 輸 出 響 應(yīng)和 驗 證 建 立 時 鐘reg clk;initial begin c

2、lk=0; #(period) forever #(period/2) clk=!clk; end reg clk;always begin #period/2 clk=0; #period/2 clk=1;end 建 立 信 號 reg 7:0 ain, bin; reg clock;initial begin clock = 0; ain = 0; bin=3; end always #5 clock = clock;always (posedge clock) begin ain = ain + 2; bin = bin +5; end 建 立 強(qiáng) 制 激 勵initial begin

3、#10 assign q= 1; #20 deassign q; end initial begin # 10 force q=0; # 20 release q; end 在 以 上 兩 個 例 子 中 , 在 10到 20 這 個 時 間 段 內(nèi) , 信號 被 強(qiáng) 制 賦 值 , 而 別 處 對 該 變 量 的 賦 值 均 無 效 。force的 賦 值 優(yōu) 先 級 高 于 assign。如 果 先 使 用 assign, 再 使 用 force對 同 一 信 號 賦 值 ,則 信 號 的 值 為 force所 賦 的 值 加 法 器 測 試 模 塊timescale 10ns/1nsin

4、clude “myadder.v”module test; wire 8:0 sumout; reg 7:0 ain, bin; reg clock;initial begin clock = 0; ain = 0; bin=3; end always #5 clock = clock; always (posedge clock) begin ain = ain + 2; bin = bin +5; end 仿 真 波 形 2 64 83 8 13 100 2823183 10 17 383124 存 儲 器 建 模 ROMtimescale 1ns/10ps module myrom(re

5、ad_data,addr,read_en_); input read_en_; input 3:0 addr; output 3:0 read_data; reg 3:0 read_data;reg 3:0 mem 0:15;initial $readmemb(“my_rom_data”,mem);always (addr or read_en_) if(!read_en_) read_data=memaddr; endmodule my_rom_data 0000 0101 1100 0011 1101 0010 0011 1111 1000 1001 1000 0001 1101 1010

6、 0001 1101 存 儲 器 建 模 RAMRAM模 型 比 ROM模 型 稍 微 復(fù) 雜 : 它 必 須 具 有 雙 向 總 線 實 現(xiàn) 讀 寫 能 力 ; 存 儲 器 的 加 載 使 用 循 環(huán) 把 值 賦 給 存 儲 器 數(shù) 組 。 for(i=0;imemsize;i=i+i) / initialize memory memai=wordsize1b1; 調(diào) 用 $readmem系 統(tǒng) 任 務(wù) 。 /從 文 件 mem_file.txt 中 , 把 初 始 數(shù) 據(jù) 存 入 存 儲器 (mem)的 每 個 單 元 $readmemb(“mem_file.txt”,mem); 注 意

7、: 上 面 兩 項 必 須 寫 在 initial 塊 中 , 加 載 這 些 初始 化 數(shù) 據(jù) 不 需 要 時 間 。 else begin # (5 * timeslice) read = 1; # (timeslice) read = 0; end always (posedge ack) begin DataToRam = DataToRam + 2; addr = addr + 1; endendmodule /信 號 模 塊 xh1( .clock( clk), .reset(rst), .ack(ackn) . . . . .); . . . . ./可 綜 合 模 塊 mysj1(. clock(clk), reset(rst),.ack(ackn) . . .); . . . . . /外 圍 模 塊 ram1(.read(rd), .write(wrt), .data(databus) . . .); . . . . .endmodule 設(shè) 計 示 例 -仿 真 宏 定 義 stim,可 使 源 程 序 簡 潔定 義 事 件 end_first_pass 設(shè) 計 示 例 三 ( 續(xù) ) 仿 真 時 序 仿 真 時 序

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!