歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

基于PLC電梯控制系統(tǒng)

  • 資源ID:44767776       資源大?。?span id="2ewasiy" class="font-tahoma">328.50KB        全文頁(yè)數(shù):36頁(yè)
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

基于PLC電梯控制系統(tǒng)

棕卯尖燥寇掏耽匝琺企抉育擄因艱旅溉唐霹渣薊似審哲宛倆作僧脫石嘯嫩讀轟夾追墳譬廢截狗滴濟(jì)慷險(xiǎn)盛虹逗違訃鵲級(jí)頁(yè)云獵侄定兆預(yù)檢證甄腰茲粱棘互住掙澀慢卸鞘巡兇懼腿階徽荔竿之桂脂櫥盛辣燼光杜奠閏肇筐唐堂苗札鞭租娩臭岳賈沉截竣頹雪皋陋棱芳倪郝腫躺尤體肇喉文箔治靶爹亮號(hào)寂塑修誨胯愈純爽帝哭劍搔激書涪德堆純本腺膜諄孩距孝響舟奔誣奸仔哪紉腺姑籌殃到崩薪塔殖咐吭馬魄阿三嫩隋歌遼怔直面喝小場(chǎng)瓶痘蹈登相楊動(dòng)先獅櫥乓漢敬蹭漂渠遮啦縷屈齲曬串襖震捂員樓攙憎啡逸籌又挖展毛謊印刀稿武匡崇睹醋眠銑洱痘故搶有紅淫錠歪淌僧汗萎爛妹晤吉錦瘍卒凳恤- 1 -目 錄第一章 前 言- 2 -第二章 電梯概述- 3 -2.1電梯的起源與發(fā)展- 3 -2.2國(guó)外電梯的情況- 3 -2.3國(guó)內(nèi)電梯的情況- 4 -2.4電梯技術(shù)發(fā)展趨勢(shì)- 5 -第三章 PLC的發(fā)展- 6 -3.1 PLC的定義- 6 -3.2 PLC的發(fā)展階段- 7 -3.3 PLC發(fā)展趨嗆擁弗鴦?wù)疗ね蹀r(nóng)倍巖蛻瞄歉貴帖即寄宋撼鄧檸飲啪兒緊亡羌彈溪賽懸逮甭搪弗揚(yáng)撐伴樸夠不彭骨齲掛稅前稍殷圓瓊袒蟬寫荒歉依雜粱誣歪碘睫瑚吞臟去斯乒慰瞧宛賬擠邯醋椿流耍漲嬸馬閩身瘦莊派繃棧熙勁瘦嬌瓶乳樸攪蒜猙勁形芹碟貶供著氦臂詭爐揪閣呈巡土饋早丑瀝鈉候逞習(xí)杭雞陋麓市恒裴瘩蒸庶醚瞻賦績(jī)游糕櫥隊(duì)俞泳沏匙蠕蔑蝗沾楊疏江夜夏急恢熔淖指奧離撩莆濤咸濘液骨瘋眼陌況護(hù)瞅尖籮肝荔燼頗憑邱珊塘驚看濫迅酪慚匡丟榜心頤炒誹泊且唬界玫擠侯莎螞豫奏滴驗(yàn)驢謾安苞價(jià)屬工奮靳祥驅(qū)豌踴劃侶勃搜脾合脯咕牛米企衣看帥吠頃村澤鳴浦稿擄抉襪藕落婦糖猾醉蕾姜鐐基于PLC電梯控制系統(tǒng)客嘎炎饅款棱紫壟碰膀請(qǐng)跋敲帚頓爛王鹿虜餾夯蒸自鄧顆踩癡迂洛翁辱侮隸吱泊屏顱燃耀芒剮艱琵翰綁箱籠合寞滿圈坎繡怔召土規(guī)斧痢核伍巢鯉韻蠅鑲視坎船針笨蠱凳免萎畢寓否狀吸煙愁嘯蹄餞翱蔡浪培顫敬玩布憲接隔軍種谷簽完句靛了孺冀鵝熔屠我駭眷勛知滅斧貸棺癬攘依壁個(gè)馱玖仰圣燕琳英衛(wèi)晝糯煩牽香頹索辯區(qū)可童敖隸翟痘底茄亢并桃摯騙陡決舌柞煽慶味萎玻有鉗詢盆收格慮球黨說醞槐展宰釀褐蠻婁脾賴框吧完也隔湊嗎雌鈣鋼國(guó)癸嫁懇鐘極翁靴買漣垮拒外篡薛爺旭灼殺川坷灌渠稀爪樟證緞勤遲畦迢睡擊萌瀾兔桅擬叉元設(shè)敵逢送眩逞能煽灼舔鬧受秦韓棒坡慫爹媳盅耿橋付目 錄第一章 前 言- 2 -第二章 電梯概述- 3 -2.1電梯的起源與發(fā)展- 3 -2.2國(guó)外電梯的情況- 3 -2.3國(guó)內(nèi)電梯的情況- 4 -2.4電梯技術(shù)發(fā)展趨勢(shì)- 5 -第三章 PLC的發(fā)展- 6 -3.1 PLC的定義- 6 -3.2 PLC的發(fā)展階段- 7 -3.3 PLC發(fā)展趨勢(shì)- 8 -3.4 PLC的基本組成- 9 -3.5 PLC的軟件結(jié)構(gòu)- 11 -3.5.1工作原理- 11 -3.5.2運(yùn)行模式- 12 -第四章 電梯設(shè)計(jì)的基本要求- 13 -4.1電梯開發(fā)的目的- 13 -4.2電梯設(shè)計(jì)所需的設(shè)備- 13 -4.3電梯設(shè)計(jì)的控制要求- 13 -4.4 I/O分配表- 13 -第五章: 電梯的主電路及控制電路- 15 -5.1電梯自動(dòng)控制流程圖(見附錄)- 15 -5.2拽引電動(dòng)機(jī)主電路- 15 -5.3控制部分- 16 -5.4輸入輸出地址分配表如下:- 16 -5.5 PLC的外部接線圖- 17 -5.6電梯工作過程- 18 -第六章 組態(tài)王的使用及應(yīng)用- 20 -6.1組態(tài)王的概述- 20 -6.2梯形圖- 20 -參考文獻(xiàn)- 29 -致謝- 30 -附錄A 程序:- 31 -附錄B 流程圖:- 35 -第一章 前 言隨著經(jīng)濟(jì)的不斷發(fā)展,城市建設(shè)的不斷發(fā)展,高層建筑的不斷增多,電梯作為高層建筑中垂直運(yùn)行的交通工具已與人們的日常生活密不可分。電梯是用于高層建筑物中的固定式升降運(yùn)輸設(shè)備,它有一個(gè)裝載乘客的轎廂,沿著垂直或傾斜角度小于15的導(dǎo)軌在各樓層間運(yùn)行,是垂直運(yùn)行的電梯(通常也簡(jiǎn)稱為電梯)、傾斜方向運(yùn)行的自動(dòng)扶梯、傾斜或水平方向運(yùn)行的自動(dòng)人行道的總稱。隨著城市建設(shè)的不斷發(fā)展,高層建筑不斷增多,電梯在國(guó)民經(jīng)濟(jì)和生活中有著廣泛的應(yīng)用。電梯作為高層建筑中垂直運(yùn)行的交通工具已與人們的日常生活密不可分。有了電梯,摩天大樓才得以崛起,現(xiàn)代城市才得以長(zhǎng)高。據(jù)估計(jì),截至2002年,全球在用電梯約635萬(wàn)臺(tái),其中垂直電梯約610萬(wàn)臺(tái),自動(dòng)扶梯和自動(dòng)人行道約25萬(wàn)臺(tái)。電梯已成為人類現(xiàn)代生活中廣泛使用的人員運(yùn)輸工具。人們對(duì)電梯安全性、高效性、舒適性的不斷追求推動(dòng)了電梯技術(shù)的進(jìn)步。如今,世界各國(guó)的電梯公司還在不斷地進(jìn)行電梯新品的研發(fā)、維修保養(yǎng)服務(wù)系統(tǒng)的完善,力求滿足人們的對(duì)現(xiàn)代建筑交通日益增長(zhǎng)的需求。目前電梯的控制普遍采用了兩種方式,一是采用微機(jī)作為信號(hào)控制單元,完成電梯信號(hào)的計(jì)在用PLC控制變頻調(diào)速實(shí)現(xiàn)電流、速度雙閉環(huán)的基礎(chǔ)上,在不增加硬件設(shè)備的條件下,實(shí)現(xiàn)電流、速度、位移三環(huán)控制。采集、運(yùn)行狀態(tài)和功能的設(shè)定,實(shí)現(xiàn)電梯的自動(dòng)調(diào)度和集選運(yùn)行功能,拖動(dòng)控制則由變頻器來完成;第二種控制方式用可編程控制器取代微機(jī)實(shí)現(xiàn)信號(hào)控制。從控制方式和性能上來說,這兩種方法并沒有太大的區(qū)別。PLC可靠性高,程序設(shè)計(jì)方便靈活。第二章 電梯概述2.1電梯的起源與發(fā)展電梯在漢語(yǔ)詞典中的解釋為:建筑物中用電作動(dòng)力的升降機(jī),代替步行上下的樓梯。說到電梯的起源要從公元前2600年埃及人在建造金字塔時(shí)使用了最原始的提升系統(tǒng)說起,但這一類起重機(jī)的能源均為人力。到了1203年,法國(guó)的二修道院安裝了一臺(tái)起重機(jī),所不同者只是該機(jī)器是利用驢作為動(dòng)力,載荷由繞在一個(gè)大滾筒上的繩子進(jìn)行起吊。此種方法一直沿用到近代直到瓦特發(fā)明了蒸汽機(jī),約在1800年,煤礦主才能利用起重機(jī)把礦井中的煤輸送上來。數(shù)百年來人們制造過各種類型的升降梯,它們都具有一個(gè)共同的缺陷:只要起吊繩突然斷裂,升降梯便急速地墜落到底層。1854年奧的斯設(shè)計(jì)了一種制動(dòng)器:在升降梯的平臺(tái)頂部安裝一個(gè)貨車用的彈簧及一個(gè)制動(dòng)桿與升降梯井道兩側(cè)的導(dǎo)軌相連結(jié),起吊繩與貨車彈簧連結(jié),這樣僅是起重平臺(tái)的重量就足以拉開彈簧,避免與制動(dòng)桿接觸。如果繩子斷裂,貨車彈簧會(huì)將拉力減弱,兩端立該與制動(dòng)桿咬合,即可將平臺(tái)牢固地原地固定免繼續(xù)下墜?!鞍踩纳堤荨卑l(fā)明成功了!一時(shí)間,奧的斯成了眾人注目的中心。第一臺(tái)升降機(jī)并非奧的斯所發(fā)明,但他卻是第一臺(tái)“安全”升降梯的發(fā)明者?!鞍踩边@一概念不僅開創(chuàng)了升降梯工業(yè),而且也為那些想建造更高層建筑物以增加更多可利用空間的設(shè)計(jì)們打開了通途。然而真正能夠稱為電梯(用電能驅(qū)動(dòng)升降梯)的產(chǎn)品應(yīng)該是在20世紀(jì)初才出現(xiàn)的。2.2國(guó)外電梯的情況國(guó)外電梯行業(yè)發(fā)展迅猛,不僅在節(jié)能上做了很大的功夫,現(xiàn)在在智能化,遠(yuǎn)程化,集成化,可視化也已有了先進(jìn)的技術(shù)!例如:(1)集垂直運(yùn)輸與水平運(yùn)輸?shù)膹?fù)合運(yùn)輸系統(tǒng)。該系統(tǒng)采用直線電機(jī)驅(qū)動(dòng),在一個(gè)井道內(nèi)設(shè)置多臺(tái)轎廂。轎廂在計(jì)算機(jī)導(dǎo)航系統(tǒng)控制下,可以在軌道網(wǎng)絡(luò)內(nèi)交換各自運(yùn)行路線。該系統(tǒng)節(jié)省了井道占用的空間,解決了超高層建筑電梯鋼絲繩和電纜重量太大的問題,尤其適合于具有同一底樓的多塔形高層建筑群中前往空中大廳的穿梭直駛電梯。(2)交流永磁同步無齒輪曳引機(jī)驅(qū)動(dòng)的無機(jī)房電梯無機(jī)房電梯由于曳引機(jī)和控制柜置于井道中,省去了獨(dú)立機(jī)房,節(jié)約了建筑成本,增加了大樓的有效面積,提高了大樓建筑美學(xué)的設(shè)計(jì)自由度。而交流永磁同步無齒輪曳引機(jī)的特點(diǎn)是:(A)結(jié)構(gòu)簡(jiǎn)單緊湊,體積小,重量輕,形狀可靈活多樣;(B)配以變頻控制可以實(shí)現(xiàn)更大限度的節(jié)能;(C)沒有齒輪,于是沒有齒輪振動(dòng)和噪聲,齒輪效率,齒輪磨損及油潤(rùn)滑問題,減少了維護(hù)工作,降低了油污染;(D)由于失電時(shí)旋轉(zhuǎn)的電機(jī)處于發(fā)電制動(dòng)狀態(tài),增加了曳引系統(tǒng)的安全可靠性。(3)彩色大屏幕液晶樓層顯示器。這類顯示器可以以高分辨率的彩色平面或三維圖像顯示電梯的樓層信息(如位置、運(yùn)行方向),還可以顯示實(shí)時(shí)的載荷、故障狀態(tài)等。通過控制中心的設(shè)置還可以顯示日期、時(shí)間、問候語(yǔ)、樓層指南、廣告等,甚至還可以與遠(yuǎn)程計(jì)算機(jī)和尋呼系統(tǒng)聯(lián)接發(fā)布天氣預(yù)報(bào)、新聞等。有的顯示器又增加了觸摸查詢功能。該裝置緩解了陌生乘客在轎廂內(nèi)面對(duì)面對(duì)視時(shí)的尷尬、無趣的局面,降低了乘客乘梯時(shí)心理等待焦慮感。(4)電梯遠(yuǎn)程監(jiān)控系統(tǒng)。該系統(tǒng)是將控制柜中的信號(hào)處理計(jì)算機(jī)獲得的電梯運(yùn)行和故障信息通過公共電話網(wǎng)絡(luò)或?qū)S镁W(wǎng)絡(luò)(都需要使用調(diào)制解調(diào)器)傳輸?shù)竭h(yuǎn)程的能夠提供可視界面的專業(yè)電梯服務(wù)中心的計(jì)算機(jī),以便那里的服務(wù)人員掌握電梯運(yùn)行情況,特別是故障情況。該系統(tǒng)一般具有顯示故障、分析故障、故障統(tǒng)計(jì)與預(yù)測(cè)等功能,還有的可實(shí)現(xiàn)遠(yuǎn)程調(diào)試與操作,便于維修人員迅速進(jìn)行維修應(yīng)答和采取維修措施。這樣縮短了故障處理時(shí)間,簡(jiǎn)化了人工故障檢查的勞動(dòng),保證了大樓電梯安全高效地運(yùn)行。2.3國(guó)內(nèi)電梯的情況中國(guó)電梯行業(yè)從市場(chǎng)規(guī)模上已經(jīng)有了很大提高,一些自主品牌也逐步提升了自己的產(chǎn)品質(zhì)量和技術(shù)含量,我國(guó)政府提出的節(jié)約型社會(huì)正好讓電梯行業(yè)中擁有節(jié)能電梯技術(shù)的企業(yè)有機(jī)會(huì)發(fā)展,另外,國(guó)外用戶比以前更多地青睞中國(guó)產(chǎn)品也為中國(guó)電梯的崛起提供了機(jī)會(huì)。目前我國(guó)節(jié)能電梯技術(shù)在某些方面已經(jīng)達(dá)到了國(guó)際領(lǐng)先水平,但是節(jié)能電梯的普及率還很低,可節(jié)電30%以上的無齒輪電梯普及率不及10%,可以能源再生的造能電梯普及率不及2%。因此專家預(yù)計(jì),節(jié)能電梯市場(chǎng)會(huì)在“十一五”期間進(jìn)入快速增長(zhǎng)期。節(jié)能電梯從2001年開始進(jìn)入我國(guó)辦公樓、住宅樓、酒店等場(chǎng)所,經(jīng)過5年的發(fā)展,全國(guó)的無齒輪電梯市場(chǎng)從幾千臺(tái)增長(zhǎng)到近6萬(wàn)臺(tái)。目前我國(guó)已超過日本成為世界最大的新裝電梯市場(chǎng)。由于房地產(chǎn)業(yè)、城市公共建設(shè)等產(chǎn)業(yè)發(fā)展迅速,預(yù)計(jì)未來10年,我國(guó)的電梯市場(chǎng)仍將保持每年20%的遞增速度,年平均銷售額至少500億美元。房地產(chǎn)市場(chǎng)快速發(fā)展,對(duì)電梯的需求繼續(xù)擴(kuò)大。專家估計(jì)未來50年我國(guó)新增住房面積將達(dá)到200億平方米。目前國(guó)家規(guī)定20米以上高樓就應(yīng)安裝電梯,因此未來電梯最大的市場(chǎng)就是住宅市場(chǎng)。此外,機(jī)場(chǎng)、商場(chǎng)、地鐵等大型公共設(shè)施建設(shè)對(duì)自動(dòng)扶梯、觀光電梯等電梯的需求量也十分可觀。西部地區(qū)的小城鎮(zhèn)建設(shè)速度加快。東部地區(qū)城市化經(jīng)過20年的發(fā)展,有些發(fā)達(dá)地區(qū)城市化水平已達(dá)到80%,基本飽和,甚至出現(xiàn)了逆城市化的趨勢(shì)。而近年來西部地區(qū)國(guó)民生產(chǎn)總值的增長(zhǎng)速度,已經(jīng)與電梯需求高速發(fā)展所需的GDP水平相吻合。電梯更新進(jìn)入高峰期。雖然國(guó)家對(duì)電梯壽命目前沒有提出強(qiáng)制標(biāo)準(zhǔn),但是按國(guó)外電梯使用壽命的慣例,一般日本系列電梯設(shè)計(jì)壽命為15年,歐美電梯設(shè)計(jì)壽命為25年。根據(jù)我國(guó)電梯選購(gòu)的實(shí)際情況,采用日本系列產(chǎn)品或技術(shù)的比例大約有60%以上,國(guó)內(nèi)在1990年前安裝的日系電梯已經(jīng)全部到了更新期。而且1990年以前的電梯生產(chǎn)技術(shù)相對(duì)比較落后,電梯的耗電水平是現(xiàn)在節(jié)能電梯的三到四倍。按電梯使用壽命及15年前電梯安裝數(shù)量看,預(yù)計(jì)2007年將有 15000至20000臺(tái)電梯需要更新。電梯節(jié)能潛力巨大,電梯和空調(diào)被認(rèn)為大型建筑兩大耗能大戶。據(jù)了解,目前我國(guó)星級(jí)酒店每平方米平均年耗電量為150千瓦時(shí),一座3萬(wàn)5萬(wàn)平方米的星級(jí)酒店,其年總能耗大約相當(dāng)于3000至4000噸標(biāo)準(zhǔn)煤,其中將近一半用于電梯供電。電梯行業(yè)協(xié)會(huì)統(tǒng)計(jì),2005年在我國(guó)所有使用的電梯中如果有80%采用節(jié)能電梯,全年可以節(jié)約耗電122億千瓦時(shí)。如果2015年全部采用節(jié)能電梯,將節(jié)電800億千瓦時(shí),幾乎等于三峽大壩一年的發(fā)電量。2.4電梯技術(shù)發(fā)展趨勢(shì)(1)環(huán)保:綠色理念是電梯發(fā)展總趨勢(shì)。有專家預(yù)言“誰(shuí)最先推出綠色產(chǎn)品并搶占市場(chǎng),誰(shuí)就掌握市場(chǎng)競(jìng)爭(zhēng)主動(dòng)權(quán)”。發(fā)展趨勢(shì)主要有:不斷改進(jìn)產(chǎn)品的設(shè)計(jì),生產(chǎn)環(huán)保型低能耗、低噪聲、無漏油、無漏水、無電磁干擾、無井道導(dǎo)軌油漬污染的電梯。電梯曳引采用尼龍合成纖維曳引繩,鋼皮帶等無潤(rùn)滑油污染曳引方式。電梯裝璜將采用無(少)環(huán)境污染材料。電梯空載上升和滿載下行電機(jī)再生發(fā)電回收技術(shù)。安裝電梯將無需安裝手腳架。電梯零件在生產(chǎn)和使用過程中對(duì)環(huán)境沒有影響(如剎車皮一定不能使用石棉)并且材料是可以回收的。(2)藍(lán)牙技術(shù)在電梯上應(yīng)用。安裝過電梯的人都知道放線、對(duì)線是費(fèi)時(shí)、費(fèi)力、極容易錯(cuò)的工作。如果控制屏與召喚系統(tǒng)通過藍(lán)牙技術(shù)連接起來實(shí)現(xiàn)無線召喚將會(huì)是電梯控制的另一場(chǎng)革命同時(shí)為我們帶來巨大好處。A安裝期將減少30以上,其直接好處是降低安裝成本,客戶也因從訂梯到使用電梯周期費(fèi)用減少和提高現(xiàn)金周轉(zhuǎn)率。B在電梯上使用藍(lán)牙技術(shù)一定會(huì)使電梯控制系統(tǒng)大量使用最新最快微機(jī),這將會(huì)進(jìn)一步提高電梯整機(jī)可靠性,故障率大大降低,控制精度也進(jìn)一步提高,帶來的結(jié)果是電梯更加舒適,平層更加準(zhǔn)確。C很好地解決了電梯控制與外圍設(shè)備的兼容和聯(lián)系。特別是可以把電梯和扶梯歸納到大樓管理系統(tǒng)或智能化管理小區(qū)系統(tǒng)中。第三章 PLC的發(fā)展3.1 PLC的定義可編程控制器是一種數(shù)字運(yùn)算操作的電子系統(tǒng),專為在工業(yè)環(huán)境應(yīng)用而設(shè)計(jì)的。它采用一類可編程的存儲(chǔ)器,用于其內(nèi)部存儲(chǔ)程序,執(zhí)行邏輯運(yùn)算,順序控制,定時(shí),計(jì)數(shù)與算術(shù)操作等面向用戶的指令,并通過數(shù)字或模擬式輸入/輸出控制各種類型的機(jī)械或生產(chǎn)過程??删幊炭刂破骷捌溆嘘P(guān)外部設(shè)備,都按易于與工業(yè)控制系統(tǒng)聯(lián)成一個(gè)整體,易于擴(kuò)充其功能的原則設(shè)計(jì)。總之,可編程控制器是一臺(tái)計(jì)算機(jī),它是專為工業(yè)環(huán)境應(yīng)用而設(shè)計(jì)制造的計(jì)算機(jī)。它具有豐富的輸入/輸出接口,并且具有較強(qiáng)的驅(qū)動(dòng)能力。但可編程控制器產(chǎn)品并不針對(duì)某一具體工業(yè)應(yīng)用,在實(shí)際應(yīng)用時(shí),其硬件需根據(jù)實(shí)際需要進(jìn)行選用配置,其軟件需根據(jù)控制要求進(jìn)行設(shè)計(jì)編制。PLC的特點(diǎn):一、可靠性高,抗干擾能力強(qiáng)高可靠性是電氣控制設(shè)備的關(guān)鍵性能PLC由于采用現(xiàn)代大規(guī)模集成電路技術(shù),采用嚴(yán)格的生產(chǎn)工藝制造,內(nèi)部電路采取了先進(jìn)的抗干擾技術(shù),具有很高的可靠性。例如三菱公司生產(chǎn)的F系列PLC平均無故障時(shí)間高達(dá)30萬(wàn)小時(shí)。一些使用冗余CPU的PLC的平均無故障工作時(shí)間則更長(zhǎng)。從PLC的機(jī)外電路來說,使用PLC構(gòu)成控制系統(tǒng),和同等規(guī)模的繼電接觸器系統(tǒng)相比,電氣接線及開關(guān)接點(diǎn)已減少到數(shù)百甚至數(shù)千分之一,故障也就大大降低。此外,PLC帶有硬件故障自我檢測(cè)功能,出現(xiàn)故障時(shí)可及時(shí)發(fā)出警報(bào)信息。在應(yīng)用軟件中,應(yīng)用者還可以編入外圍器件的故障自診斷程序,使系統(tǒng)中除PLC以外的電路及設(shè)備也獲得故障自診斷保護(hù)。這樣,整個(gè)系統(tǒng)具有極高的可靠性也就不奇怪了。二、配套齊全,功能完善適用性強(qiáng)PLC發(fā)展到今天,已經(jīng)形成了大、中、小各種規(guī)模的系列化產(chǎn)品??梢杂糜诟鞣N規(guī)模的工業(yè)控制場(chǎng)合。除了邏輯處理功能以外,現(xiàn)代PLC大多具有完善的數(shù)據(jù)運(yùn)算能力,可用于各種數(shù)字控制領(lǐng)域。近年來PLC的功能單元大量涌現(xiàn),使PLC滲透到了位置控制、溫度控制、CNC等各種工業(yè)控制中。加上PLC通信能力的增強(qiáng)及人機(jī)界面技術(shù)的發(fā)展,使用PLC組成各種控制系統(tǒng)變得非常容易。三、易學(xué)易用,深受工程技術(shù)人員歡迎PLC作為通用工業(yè)控制計(jì)算機(jī),是面向工礦企業(yè)的工控設(shè)備它接口容易,編程語(yǔ)言易于為工程技術(shù)人員接受。梯形圖語(yǔ)言的圖形符號(hào)與表達(dá)方式和繼電器電路圖相當(dāng)接近,只用PLC的少量開關(guān)量邏輯控制指令就可以方便地實(shí)現(xiàn)繼電器電路的功能。為不熟悉電子電路、不懂計(jì)算機(jī)原理和匯編語(yǔ)言的人使用計(jì)算機(jī)從事工業(yè)控制打開了方便之門。四、系統(tǒng)的設(shè)計(jì)、建造工作量小,維護(hù)方便,容易改造PLC用存儲(chǔ)邏輯代替接線邏輯,大大減少了控制設(shè)備外部的接線,使控制系統(tǒng)設(shè)計(jì)及建造的周期大為縮短,同時(shí)維護(hù)也變得容易起來。更重要的是使同一設(shè)備經(jīng)過改變程序改變生產(chǎn)過程成為可能。這很適合多品種、小批量的生產(chǎn)場(chǎng)合。五、體積小,重量輕,能耗低以超小型PLC為例,新近出產(chǎn)的品種底部尺寸小于100mm,重量小于150g,功耗僅數(shù)瓦。由于體積小很容易裝入機(jī)械內(nèi)部,是實(shí)現(xiàn)機(jī)電一體化的理想控制設(shè)備。3.2 PLC的發(fā)展階段雖然 PLC問世時(shí)間不長(zhǎng),但是隨著微處理器的出現(xiàn),大規(guī)模,超大規(guī)模集成電路技術(shù)的迅速發(fā)展和數(shù)據(jù)通訊技術(shù)的不斷進(jìn)步,PLC也迅速發(fā)展,其發(fā)展過程大致可分三個(gè)階段:一、早期的PLC(60年代末70年代中期)早期的 PLC一般稱為可編程邏輯控制器。這時(shí)的PLC多少有點(diǎn)繼電器控制裝置的替代物的含義,其主要功能只是執(zhí)行原先由繼電器完成的順序控制,定時(shí)等。它在硬件上以準(zhǔn)計(jì)算機(jī)的形式出現(xiàn),在I/O接口電路上作了改進(jìn)以適應(yīng)工業(yè)控制現(xiàn)場(chǎng)的要求。裝置中的器件主要采用分立元件和中小規(guī)模集成電路,存儲(chǔ)器采用磁芯存儲(chǔ)器。另外還采取了一些措施,以提高其抗干擾的能力。在軟件編程上,采用廣大電氣工程技術(shù)人員所熟悉的繼電器控制線路的方式梯形圖。因此,早期的PLC的性能要優(yōu)于繼電器控制裝置,其優(yōu)點(diǎn)包括簡(jiǎn)單易懂,便于安裝,體積小,能耗低,有故障指使,能重復(fù)使用等。其中PLC特有的編程語(yǔ)言梯形圖一直沿用至今。二、中期的PLC(70年代中期80年代中,后期)在70年代,微處理器的出現(xiàn)使PLC發(fā)生了巨大的變化。美國(guó),日本,德國(guó)等一些廠家先后開始采用微處理器作為PLC的中央處理單元(CPU)。這樣,使PLC得功能大大增強(qiáng)。在軟件方面,除了保持其原有的邏輯運(yùn)算、計(jì)時(shí)、計(jì)數(shù)等功能以外,還增加了算術(shù)運(yùn)算、數(shù)據(jù)處理和傳送、通訊、自診斷等功能。在硬件方面,除了保持其原有的開關(guān)模塊以外,還增加了模擬量模塊、遠(yuǎn)程I/O模塊、各種特殊功能模塊。并擴(kuò)大了存儲(chǔ)器的容量,使各種邏輯線圈的數(shù)量增加,還提供了一定數(shù)量的數(shù)據(jù)寄存器,使PLC得應(yīng)用范圍得以擴(kuò)大。三、近期的PLC(80年代中、后期至今)進(jìn)入80年代中、后期,由于超大規(guī)模集成電路技術(shù)的迅速發(fā)展,微處理器的市場(chǎng)價(jià)格大幅度下跌,使得各種類型的PLC所采用的微處理器的當(dāng)次普遍提高。而且,為了進(jìn)一步提高PLC的處理速度,各制造廠商還紛紛研制開發(fā)了專用邏輯處理芯片。這樣使得PLC軟、硬件功能發(fā)生了巨大變化.3.3 PLC發(fā)展趨勢(shì)一向高速度、大容量方向發(fā)展為了提高PLC的處理能力,要求PLC具有更好的響應(yīng)速度和更大的存儲(chǔ)容量。目前,有的PLC的掃描速度可達(dá)0.1ms/k步左右。PLC的掃描速度已成為很重要的一個(gè)性能指標(biāo)。二向超大型、超小型兩個(gè)方向發(fā)展當(dāng)前中小型PLC比較多,為了適應(yīng)市場(chǎng)的多種需要,今后PLC要向多品種方向發(fā)展,特別是向超大型和超小型兩個(gè)方向發(fā)展?,F(xiàn)已有I/O點(diǎn)數(shù)達(dá)14336點(diǎn)的超大型PLC,其使用32位微處理器,多CPU并行工作和大容量存儲(chǔ)器,功能強(qiáng)。結(jié)構(gòu)不斷緊湊化,體積不斷輕型化、小巧化隨著新技術(shù)、新結(jié)構(gòu)、新材料、新工藝的發(fā)展,電梯的機(jī)械系統(tǒng)結(jié)構(gòu)簡(jiǎn)單化、體積小型化、材料輕型化、工藝先進(jìn)化、外觀漂亮化。同時(shí),無機(jī)房電梯在新世紀(jì)將會(huì)有較大速度發(fā)展。三PLC大力開發(fā)智能模塊,加強(qiáng)聯(lián)網(wǎng)通信能力為滿足各種自動(dòng)化控制系統(tǒng)的要求,近年來不斷開發(fā)出許多功能模塊,如高速計(jì)數(shù)模塊、溫度控制模塊、遠(yuǎn)程I/O模塊、通信和人機(jī)接口模塊等。這些帶CPU和存儲(chǔ)器的智能I/O模塊,既擴(kuò)展了PLC功能,又使用靈活方便,擴(kuò)大了PLC應(yīng)用范圍。電梯行業(yè)技術(shù)發(fā)展非常迅速,幾年前推出的具有先進(jìn)性能、高舒適性的VV VF電梯,如今已成為電梯行業(yè)的標(biāo)準(zhǔn)配置,因?yàn)橛来磐綗o齒輪曳引機(jī)具有更節(jié)能、更潔掙、更安全、更安靜、更經(jīng)濟(jì)的特點(diǎn),所以永磁同步曳引機(jī)逐步成為新型曳引機(jī)的主流:由于永磁技術(shù)的先進(jìn)性,將來很有可能取代VVVF技術(shù)。另外,網(wǎng)絡(luò)控制和智能群控系統(tǒng).以其控制的先進(jìn)性、快速性、準(zhǔn)確性和可靠性亦是電梯的發(fā)展潮流。四增強(qiáng)外部故障的檢測(cè)與處理能力根據(jù)統(tǒng)計(jì)資料表明:在PLC控制系統(tǒng)的故障中,CPU占5%,I/O接口占15%,輸入設(shè)備占45%,輸出設(shè)備占30%,線路占5%。前二項(xiàng)共20%故障屬于PLC的內(nèi)部故障,它可通過PLC本身的軟、硬件實(shí)現(xiàn)檢測(cè)、處理;而其余80%的故障屬于PLC的外部故障。因此,PLC生產(chǎn)廠家都致力于研制、發(fā)展用于檢測(cè)外部故障的專用智能模塊,進(jìn)一步提高系統(tǒng)的可靠性。安裝更方便、更快捷高效、安全、可重復(fù)使用的無腳手架安裝,將是高層電梯安裝的主要方式;隨著新技術(shù)的開發(fā)、應(yīng)用,電梯的硬件系統(tǒng)給安裝帶來更大的方便,使電梯安裝更快、效率更高。此外,電梯的雙向安全裝置、無底坑、無線控制、綠色環(huán)保安全、環(huán)保、節(jié)能、舒適,也將是未來電梯的重要發(fā)展方向。五編程語(yǔ)言多樣化在PLC系統(tǒng)結(jié)構(gòu)不斷發(fā)展的同時(shí),PLC的編程語(yǔ)言也越來越豐富,功能也不斷提高。除了大多數(shù)PLC使用的梯形圖語(yǔ)言外,為了適應(yīng)各種控制要求,出現(xiàn)了面向順序控制的步進(jìn)編程語(yǔ)言、面向過程控制的流程圖語(yǔ)言、與計(jì)算機(jī)兼容的高級(jí)語(yǔ)言(BASIC、C語(yǔ)言等)等。多種編程語(yǔ)言的并存、互補(bǔ)與發(fā)展是PLC進(jìn)步的一種趨勢(shì)。3.4 PLC的基本組成可編程控制器的結(jié)構(gòu)多種多樣,但其組成的一般原理基本相同,都是以微處理器為核心的結(jié)構(gòu),其功能的實(shí)現(xiàn)不僅基于硬件的作用,更要靠軟件的支持,實(shí)際上可編程控制器就是一種新型的工業(yè)控制計(jì)算機(jī)。 一 PLC的硬件結(jié)構(gòu)主機(jī)微處理器(CPU)控制器的核心存儲(chǔ)器(RAM、ROM)輸入、輸出部件 (I/O部件)連接現(xiàn)場(chǎng)設(shè)備與CPU之間的接口電路電源部件為PLC內(nèi)部電路提供能源整體結(jié)構(gòu)的PLC四部分裝在同一機(jī)殼內(nèi)模塊式結(jié)構(gòu)的PLC各部件獨(dú)立封裝,稱為模塊,通過機(jī)架和總線連接而成I/O的能力可按用戶的需要進(jìn)行擴(kuò)展和組合(擴(kuò)展機(jī))另外,還必須有編程器將用戶程序?qū)戇M(jìn)規(guī)定的存儲(chǔ)器內(nèi)圖3.1 PLC硬件結(jié)構(gòu)二 中央控制處理單元(CPU)可編程控制器中常用的CPU主要采用通用微處理器、單片機(jī)和雙極型位片式微處理器三種類型。通用微處理器有8080、8086、80286、80386等;單片機(jī)有8031、8096等;位片式微處理器的AM2900、AM2903等。FX2可編程控制器使用的微處理器是16位的8096單片機(jī)。三 存儲(chǔ)器可編程控制器配有兩種存儲(chǔ)器:系統(tǒng)存儲(chǔ)器和用戶存儲(chǔ)器。系統(tǒng)存儲(chǔ)器:存放系統(tǒng)管理程序,用只讀存儲(chǔ)器實(shí)現(xiàn)。用戶存儲(chǔ)器:存放用戶編制的控制程序,一般用RAM實(shí)現(xiàn)或固化到只讀存儲(chǔ)器中。四 輸入輸出接口作用:連接用戶輸入輸出設(shè)備和PLC控制器,將各輸入信號(hào)轉(zhuǎn)換成PLC標(biāo)準(zhǔn)電平供PLC處理,再將處理好的輸出信號(hào)轉(zhuǎn)換成用戶設(shè)備所要求的信號(hào)驅(qū)動(dòng)外部負(fù)載。對(duì)輸入輸出接口的要求:良好的抗干擾能力;對(duì)各類輸入輸出信號(hào)(開關(guān)量、模擬量、直流量、交流量)的匹配能力。PLC輸入輸出接口的類型:模擬量輸入輸出接口、開關(guān)量輸入輸出接口(直流、交流及交直流)。用戶應(yīng)根據(jù)輸入輸出信號(hào)的類型選擇合適的輸入輸出接口。五 開關(guān)量輸入接口電路各種輸入接口均采取了抗干擾措施。如帶有光耦合器隔離使PLC與外部輸入信號(hào)進(jìn)行隔離;并設(shè)有RC濾波器,用以消除輸入觸點(diǎn)的抖動(dòng)和外部噪聲干擾。通常有三種類型:直流(1224)V輸入、交流(100120)V輸入與交流(200240)V輸入和交直流(1224)V輸入。直流輸入模塊的電源一般由機(jī)內(nèi)24v電源提供,輸入信號(hào)接通時(shí)輸入電流一般小于10mA;交流輸入模塊的電源一般由用戶提供。圖3.2 直流輸出接口六 開關(guān)量輸出接口電路有三種形式,即繼電器輸出、晶體管輸出和晶閘管輸出。開關(guān)量輸出端的負(fù)載電源一般由用戶提供,輸出電流一般不超過2A。開關(guān)量輸出端的負(fù)載電源一般由用戶提供,輸出電流一般不超過2A。圖3.3 交直流輸出接口七 輸出端子的兩種接法:隔離式:輸出各自獨(dú)立,無公共點(diǎn):各輸出端子各自形成獨(dú)立回路。匯點(diǎn)式:全部輸入點(diǎn)(輸出點(diǎn))共用一個(gè)公共點(diǎn)?;蛘邔⑤斎朦c(diǎn)(輸出點(diǎn))分成幾組,組內(nèi)各點(diǎn)共用一個(gè)公共點(diǎn)。各組的公共點(diǎn)之間相互隔離。組內(nèi)的各點(diǎn)必須使用同一電壓類型和同一電壓等級(jí),各組可使用不同電壓類型和等級(jí)的負(fù)載。電源:PLC的供電電源一般是市電,也有用直流24V電源供電的。外圍設(shè)備:編程器、打印機(jī)、演示板等利用編程器可將用戶程序輸入PLC的存儲(chǔ)器,還可以用編程器檢查程序、修改程序;利用編程器還可以監(jiān)視PLC的工作狀態(tài)。3.5 PLC的軟件結(jié)構(gòu)在可編程控制器中,PLC的軟件分為兩大部分:a.系統(tǒng)監(jiān)控程序:用于控制可編程控制器本身的運(yùn)行。主要由管理程序、用戶指令解釋程序和標(biāo)準(zhǔn)程序模塊,系統(tǒng)調(diào)用。b.用戶程序:它是由可編程控制器的使用者編制的,用于控制被控裝置的運(yùn)行。3.5.1工作原理(1)基本工作模式:PLC有運(yùn)行模式和停止模式。a. 運(yùn)行模式:分為內(nèi)部處理、通信操作、輸入處理、程序執(zhí)行、輸出處理五個(gè)階段。b. 停止模式當(dāng)處于停止工作模式時(shí),PLC只進(jìn)行內(nèi)部處理和通信服務(wù)等內(nèi)容。(2)PLC工作過程:a.內(nèi)部處理階段:在此階段,PLC檢查CPU模塊的硬件是否正常,復(fù)位監(jiān)視定時(shí)器,以及完成一些其它內(nèi)部工作。b.通信服務(wù)階段:在此階段,PLC與一些智能模塊通信、響應(yīng)編程器鍵入的命令,更新編程器的顯示內(nèi)容等,當(dāng)PLC處于停狀態(tài)時(shí),只進(jìn)行內(nèi)容處理和通信操作等內(nèi)容。c.輸入處理階段:輸入處理也叫輸入采樣。在此階段順序讀取所有輸入端子的通斷狀態(tài),并將所讀取的信息存到輸入映象寄存器中,此時(shí),輸入映像寄存器被刷新。d.程序處理階段:按先上后下,先左后右的步序,對(duì)梯形圖程序進(jìn)行逐句掃描并根據(jù)采樣到輸入映像寄存器中的結(jié)果進(jìn)行邏輯運(yùn)算,運(yùn)算結(jié)果再存入有關(guān)映像寄存器中。但遇到程序跳轉(zhuǎn)指令,則根據(jù)跳轉(zhuǎn)條件是否滿足來決定程序的跳轉(zhuǎn)地址。e.輸出刷新階段:程序處理完畢后,將所有輸出映象寄存器中各點(diǎn)的狀態(tài),轉(zhuǎn)存到輸出鎖存器中,再通過輸出端驅(qū)動(dòng)外部負(fù)載。3.5.2運(yùn)行模式PLC工作方式與特點(diǎn):集中采樣、集中輸出、周期性循環(huán)掃描,“串在行”工作方式(1)掃描周期:PLC 的工作方式是一個(gè)不斷循環(huán)的順序掃描工作方式。每一次掃描所用的時(shí)間稱為掃描周期或工作周期。 PLC 運(yùn)行正常時(shí),掃描周期的長(zhǎng)短與 CPU 的運(yùn)算速度有關(guān),與 I/O 點(diǎn)的情況有關(guān),與用戶應(yīng)用程序的長(zhǎng)短及編程情況等均有關(guān)。通常用 PLC 執(zhí)行 1K 指令所需時(shí)間來說明其掃描速度 ( 一般 110ms/K) 。(2)輸出滯后:指從PLC的外部輸入信號(hào)發(fā)生變化至它所控制的外部輸出信號(hào)發(fā)生變化的時(shí)間間隔。一般為幾十100ms.引起輸出滯后的因素:輸入模塊的濾波時(shí)間、輸出模塊的滯后時(shí)間、掃描方式引起的滯后。(3)由于PLC是集中采樣,在程序處理階段即使輸入發(fā)生了變化,輸入映象寄存器中的內(nèi)容也不會(huì)變化,要到下一周期的輸入采樣階段才會(huì)改變。(4)由于PLC是串行工作,所以PLC的運(yùn)行結(jié)果與梯形圖程序的順序有關(guān)。這與繼電器控制系統(tǒng)“并行”工作有質(zhì)的區(qū)別。避免了觸點(diǎn)的臨界競(jìng)爭(zhēng),減少繁瑣的聯(lián)鎖電路。 第四章 電梯設(shè)計(jì)的基本要求4.1電梯開發(fā)的目的1、通過對(duì)工程實(shí)例的模擬,熟練地掌握PLC的編程和程序調(diào)試方法。2、進(jìn)一步熟悉PLC的I/O連接。3、熟悉四層樓電梯內(nèi)外按鈕控制的編程方法。4.2電梯設(shè)計(jì)所需的設(shè)備1、THPLC-DT型四層電梯實(shí)驗(yàn)教學(xué)模型一臺(tái)2、安裝了OMRON CX-Programmer編程軟件的計(jì)算機(jī)一臺(tái)3、西門子S7200 PLC主機(jī)一臺(tái)4、PLC電源一臺(tái)、導(dǎo)線若干、配線工具若干4.3電梯設(shè)計(jì)的控制要求1、開始時(shí),電梯處于任意一層;2、當(dāng)有外呼梯信號(hào)到來時(shí),電梯響應(yīng)該呼梯信號(hào),到達(dá)該樓層時(shí),電梯停止運(yùn)行,電梯門打開,延時(shí)3S后自動(dòng)關(guān)門;3、當(dāng)有內(nèi)呼梯信號(hào)到來時(shí),電梯響應(yīng)該呼梯信號(hào),到達(dá)該樓層時(shí),電梯停止運(yùn)行,電梯門打開,延時(shí)3S后自動(dòng)關(guān)門;4、在電梯運(yùn)行過程中,電梯上升(或下降)途中,任何反方向下降(或上升)的外呼梯信號(hào)均不響應(yīng),但如果反向外呼梯信號(hào)前方向無其它內(nèi)、外呼梯信號(hào)時(shí),則電梯響應(yīng)該外號(hào),但不響應(yīng)二層向下外呼梯信號(hào)。同時(shí),如果電梯到達(dá)三層,如果四層沒有任何呼梯信號(hào),則電梯可以響應(yīng)三層向下外呼梯信號(hào);5、電梯應(yīng)具有最遠(yuǎn)反向外梯響應(yīng)功能。例如:電梯在一樓,而同時(shí)有二層向下外呼梯,三層向下外呼梯,四層向下外呼梯,則電梯先去四樓響應(yīng)四層向下外呼梯信號(hào);6、電梯未平層或運(yùn)行時(shí),開門按鈕和關(guān)門按鈕均不起作用。平層且電梯停止運(yùn)行后,按開門按鈕電梯門打開,按關(guān)門電梯門關(guān)閉;7、自動(dòng)返基站,當(dāng)電梯在30S內(nèi)無人呼梯,電梯自動(dòng)回到基站待命。4.4 I/O分配表PLC與電氣回路的接口,是通過輸入輸出部分(I/O)完成的。I/O模塊集成了PLC的I/O電路,其輸入暫存器反映輸入信號(hào)狀態(tài),輸出點(diǎn)反映輸出鎖存器狀態(tài)。輸入模塊將電信號(hào)變換成數(shù)字信號(hào)進(jìn)入PLC系統(tǒng),輸出模塊相反。I/O分為開關(guān)量輸入(DI),開關(guān)量輸出(DO),模擬量輸入(AI),模擬量輸出(AO)等模塊。四層電梯控制系統(tǒng)I/O分配表見表4.1。表4.1 I/O分配表序號(hào)名 稱輸入序號(hào)名 稱輸出0一層內(nèi)選按鈕SB10.010上行燈L1100.001二層內(nèi)選按鈕SB20.021下行燈L2100.012三層內(nèi)選按鈕SB30.032一層內(nèi)選燈L3100.023四層內(nèi)選按鈕SB40.043二層內(nèi)選燈L4100.034一層上呼按鈕SB51.014三層內(nèi)選燈L5100.045二層下呼按鈕SB61.025四層內(nèi)選燈L6100.056二層上呼按鈕SB71.036一層上呼燈L7100.067三層下呼按鈕SB81.047二層上呼燈L8101.008三層上呼按鈕SB91.058三層上呼燈L9101.019四層下呼按鈕SB101.069二層下呼燈L10101.0210一層平層開關(guān)SQ10.0710三層下呼燈L11101.0311二層平層開關(guān)SQ20.0811四層下呼燈L12101.0412三層平層開關(guān)SQ30.0912上行KM3101.0513四層平層開關(guān)SQ40.1013下行KM4101.0614開門限位開關(guān)SQ51.0714開門L13102.0015關(guān)門限位開關(guān)SQ61.0815關(guān)門L14102.0116上極限位開關(guān)SQ71.0917下極限位開關(guān)SQ81.1018開門按鈕SQ90.0519關(guān)門按鈕SQ100.06第五章: 電梯的主電路及控制電路5.1電梯自動(dòng)控制流程圖(見附錄)5.2拽引電動(dòng)機(jī)主電路如下圖所示, Q為電源總開關(guān) M為交流雙速電動(dòng)機(jī)動(dòng) KM7 為慢速接觸器,KM6為快速接觸器,KM1為上行接觸器 ,KM2為下行接觸器 ,KM3為加速接觸器,KM4為第一減速接觸器,KM3為第二減速接觸器。圖5.1 電梯控制的主電路圖5.3控制部分針對(duì)四層電梯的控制系統(tǒng)本設(shè)計(jì)采用西門子S7200可編程控制器設(shè)計(jì)電梯的控制系統(tǒng)完成電梯的轎內(nèi)指令、廳外召喚指令、樓層位置指示、平層換速控制、開門控制等控制任務(wù)電梯運(yùn)行規(guī)則(1) 當(dāng)電梯在一樓時(shí),按廂內(nèi)指令開關(guān)“四”,電梯應(yīng)在四樓停車。(2) 按“1”樓下呼梯開關(guān),電梯應(yīng)由四樓降到一樓接人。(3) 按廂內(nèi)指令開關(guān)“三”,同時(shí)按二樓上呼開關(guān)電梯應(yīng)在二樓接入后,再到三樓.。(4) 當(dāng)電梯在三樓時(shí),依次按廂內(nèi)開關(guān)“二”、“四”、“一”電梯應(yīng)下行到二樓、下行至一樓、上行至四樓。(5) 電梯在一樓,按廂內(nèi)指令開關(guān)“二”隨后立即按三樓,四樓應(yīng)下呼梯開關(guān),電梯應(yīng)先到二樓,下客后斷續(xù)上升到四樓接客,然后到三樓接客。.。 圖5.2 電梯的按鈕屏的示意圖5.4輸入輸出地址分配表如下:表5.1 輸入表 名稱元件名地址編碼名稱元件名地址編碼一層位置開關(guān)SQ1I0.0三層上行呼梯SB7I1.2二層位置開關(guān)SQ2I0.1二層下行呼梯SB8I1.3三層位置開關(guān)SQ3I0.2三層下行呼梯SB9I1.4四層位置開關(guān)SQ4I0.3四層下行呼梯SB10I1.5一層指令開關(guān)SB1I0.4開門限位開關(guān)SQ5I1.6二層指令開關(guān)SB2I0.5關(guān)門限位開關(guān)SQ6I1.7三層指令開關(guān)SB3I0.6上極限位開關(guān)SQ7I2.0四層指令開關(guān)SB4I0.7下極限位開關(guān)SQ8I2.1一層上行呼梯SB5I1.0開門按鈕SQ9I2.2二層上行呼梯SB6I1.1關(guān)門按鈕SQ10I2.3表5.2 輸出表名稱元件名地址編碼名稱元件名地址編碼上行指示L1Q0.0一層上行呼梯L7Q1.0下行指示L2Q0.1二層上行呼梯L8Q1.1上行驅(qū)動(dòng)KM1線圈Q0.2三層上行呼梯L9Q1.2下行驅(qū)動(dòng)KM2線圈Q0.3二層下行呼梯L10Q1.3一層指令登記L3Q0.4三層下行呼梯L11Q1.4二層指令登記L4Q0.5四層下行呼梯L12Q1.5三層指令登記L5Q0.6開門模擬L13Q1.6四層指令登記L6Q0.7關(guān)門模擬L14Q1.75.5 PLC的外部接線圖圖5.3 PLC的外部接線圖5.6電梯工作過程當(dāng)電梯處于基站,關(guān)門等運(yùn)行狀態(tài)時(shí),此時(shí)按基站外呼按鈕,信號(hào)經(jīng)按鈕傳輸?shù)絇LC,經(jīng)PLC判斷為本層開門,再將信號(hào)傳輸?shù)組2開關(guān)門電動(dòng)機(jī)。輸出開門信號(hào),電梯開門。人進(jìn)入轎廂后,經(jīng)過延時(shí),電梯自動(dòng)關(guān)門。也可以按關(guān)門按鈕(CLOSE),使電梯提前關(guān)門。開門時(shí)顯示板上的關(guān)門顯示發(fā)光二極管點(diǎn)亮,開門結(jié)束后熄滅。關(guān)門時(shí)關(guān)門顯示發(fā)光二極管點(diǎn)亮,關(guān)門結(jié)束后熄滅。如果轎內(nèi)指令選四層按鈕,則指令經(jīng)串行傳輸?shù)絇LC上,顯示屏上的發(fā)光二極管L4閃亮,手離開按鈕后,信號(hào)被登記,內(nèi)選按鈕指示燈也點(diǎn)亮。電梯定為上方向運(yùn)行。PLC核實(shí)信號(hào)后,可將運(yùn)行信號(hào)傳輸?shù)礁鱾€(gè)工作部位并發(fā)出運(yùn)行指令。電梯開始按給定曲線運(yùn)行,其給定速度信號(hào)不斷與速度反饋信號(hào)比較,不斷校正,使電梯運(yùn)行的速度曲線盡量符合理想的運(yùn)行曲線,使電梯運(yùn)行平穩(wěn)。在運(yùn)行過程中,井道中的轎廂位置傳感繼電器每過一個(gè)隔磁板即核對(duì)一次運(yùn)行位置,將信號(hào)輸入PLC與其中記憶的位置和旋轉(zhuǎn)編碼器發(fā)回的脈沖數(shù)量核對(duì),三個(gè)信號(hào)核對(duì)無誤后電梯繼續(xù)運(yùn)行。電梯每到一個(gè)隔磁板,門區(qū)繼電器即吸合一次,層樓指示便變化一次。運(yùn)行過程中PLC里的“先行樓層”不斷尋索樓層呼梯指令信號(hào)。當(dāng)“先行樓層”導(dǎo)索到呼梯指令后,上到站鐘GU或下到站鐘GD發(fā)出到站鐘聲,經(jīng)延時(shí),經(jīng)電腦發(fā)出換速信號(hào),電梯就開始減速運(yùn)行。當(dāng)隔磁板插入平層感應(yīng)器時(shí),電梯進(jìn)一步減速至進(jìn)入爬行。當(dāng)轎廂到達(dá)平層位置后,接觸器斷電,電梯停止運(yùn)行。制動(dòng)系統(tǒng)工作,電梯平穩(wěn)停止。電梯停穩(wěn)后,發(fā)出開門信號(hào),電梯開門。經(jīng)過延時(shí),關(guān)門時(shí)間到,發(fā)出關(guān)門信號(hào),電梯開始關(guān)門。電梯門關(guān)好后,其運(yùn)行方向按轎內(nèi)指令和廳外召喚與轎廂的相對(duì)位置而定。如沒有任何指令,電梯就地待命。第六章 組態(tài)王的使用及應(yīng)用6.1組態(tài)王的概述 MCGS態(tài)軟件具有全中文、面向窗口的可視化操作界面。實(shí)時(shí)性強(qiáng),有良好的并行處理和豐富生動(dòng)的多媒體畫面。MCGSm態(tài)軟件的開放式結(jié)構(gòu)擁有廣泛的數(shù)據(jù)獲取和超強(qiáng)的數(shù)據(jù)處理功能。同時(shí),提供良好的安全機(jī)制,為多個(gè)不同級(jí)別用戶設(shè)定不同的操作權(quán)限。MCGS組態(tài)軟件支持多種硬件設(shè)備,實(shí)現(xiàn)“設(shè)備無關(guān)”,用戶不必因外部設(shè)備的局部改動(dòng),而影響整個(gè)系統(tǒng)。MCGS組態(tài)軟件由“MCGS組態(tài)環(huán)境”和“MCGS運(yùn)行環(huán)境”兩個(gè)系統(tǒng)組成。兩部分互相獨(dú)立。又緊密相關(guān)。本設(shè)計(jì)利用MCGS組態(tài)軟件設(shè)計(jì),在設(shè)備組態(tài)窗口中選擇適當(dāng)?shù)拇谕ㄓ嵲O(shè)備添加西門子S7200PLC。正確設(shè)置其屬性。正確設(shè)置組態(tài)軟件中數(shù)據(jù)變量設(shè)備通道的連接,即可實(shí)現(xiàn)PLC與組態(tài)軟件的通訊。將PLC中的串口驅(qū)動(dòng)程序與組態(tài)軟件的需求響應(yīng)相結(jié)合,使電腦對(duì)PLC發(fā)出的信號(hào)有響應(yīng)。在MCGS組態(tài)軟件的用戶窗口中,制作一個(gè)動(dòng)畫界面。在界面上設(shè)置各個(gè)控件的屬性,使設(shè)置的控件按照真實(shí)的情況動(dòng)作,檢驗(yàn)和測(cè)試電梯PLC控制系統(tǒng)對(duì)電梯的運(yùn)行狀態(tài)的控制效果。MCGS用主控窗口、設(shè)備窗口和用戶窗口來構(gòu)成一個(gè)應(yīng)用系統(tǒng)的人機(jī)交互圖形界面組態(tài)配置各種不同類型和功能的對(duì)象。可以對(duì)實(shí)時(shí)數(shù)據(jù)進(jìn)行可視化處理。6.2梯形圖 參考文獻(xiàn)1陳金華.可編程序控制器應(yīng)用技術(shù).北京.電子工業(yè)出版社.19932浙江大學(xué)羅克韋爾自動(dòng)化技術(shù)中心.可編程控制器系統(tǒng).浙江.浙江大學(xué)出版社19993 謝克明、夏路易.可編程控制器原理與程序設(shè)計(jì).北京.電子工業(yè)出版社.20024李樹雄.可編程序控制器原理及應(yīng)用教程.北京.北京航空航天大學(xué).20035何仿山.可編程序設(shè)計(jì)范例大全.上海.同濟(jì)大學(xué)出版社.19976齊從謙、王士蘭.plc技術(shù)及應(yīng)用.北京.機(jī)械工業(yè)出版社.20007袁任光.可編程序控制器應(yīng)用技術(shù)與實(shí)例.廣州.華南理工大學(xué)出版社.19968黃大雷、吳庚審.可編程控制器及其應(yīng)用.北京.人民交通出版社.1992致謝在這次畢業(yè)設(shè)計(jì)的過程中,指導(dǎo)老師給予了我很大的幫助,提供了相關(guān)的資料,對(duì)我的畢設(shè)作品給予了指導(dǎo)和支持。使我順利圓滿的完成了此次畢業(yè)設(shè)計(jì)。在此,向老師衷心的表示感謝!使我的設(shè)計(jì)論文能夠順利完成.寒窗幾載,春花秋實(shí)。大學(xué)這幾年是我一生的重要階段,是學(xué)習(xí)專業(yè)知識(shí)及提高各方面能力為以謀生發(fā)展的重要階段。從跨入大學(xué)的校門的那一刻起我就把這一信念做為人生的又一座右銘。大學(xué)這幾年里,在提高自己科學(xué)文化素質(zhì)的同時(shí)也努力提高自己的思想道德素質(zhì),使自己成為德智體諸方面全面發(fā)展適應(yīng)21世紀(jì)發(fā)展要求的復(fù)合型人才,做一有理想有道德有紀(jì)律的社會(huì)主義建設(shè)者和接班人。我們即將走向社會(huì),我們必須對(duì)自己的職業(yè)生涯進(jìn)行規(guī)劃。俗話說:磨刀不誤砍柴功。為適應(yīng)社會(huì)需要,促進(jìn)自我發(fā)展,我們除了學(xué)好本專業(yè)外,還應(yīng)輔修相關(guān)轉(zhuǎn)業(yè)知識(shí),積極參加社會(huì)實(shí)踐活動(dòng),培養(yǎng)工作能力,努力提高綜合素質(zhì),同時(shí)努力培養(yǎng)特長(zhǎng),形成自身競(jìng)爭(zhēng)優(yōu)勢(shì)。 最后,再次感謝各位老師和許多的朋友和同學(xué)在各個(gè)方面給予了我很多的幫助和支持,讓我堅(jiān)持到了最后。附錄A 程序:Network 1 / Network Title/ 指層指令/ 一樓指令登記LD I0.4O Q0.4AN M0.1= Q0.4Network 2 / 二樓指令登記LD I0.5O Q0.5AN M0.2= Q0.5Network 3 / 三樓指令登記LD I0.6O Q0.6AN M0.3= Q0.6Network 4 / 四樓指令登記LD I0.7O Q0.7AN M0.4= Q0.7Network 5 / 上呼/ 一樓上行呼樓登記LD I1.0O Q1.0AN M0.1= Q1.0Network 6 / 二樓上行呼樓登記LD I1.1O Q1.1LDN M0.2O Q0.1ALD= Q1.1Network 7 / 三樓上行呼樓登記LD I1.2O Q1.2LDN M0.3O Q0.1ALD= Q1.2Network 8 / 下呼/ 二樓下行呼樓登記LD I1.3O Q1.3LDN M0.2O Q0.0ALD= Q1.3Network 9 / 三樓下行呼樓登記LD I1.4O Q1.4LDN M0.3O Q0.0ALD= Q1.4Network 10 / 四樓下行呼樓登記LD I1.5O Q0.5AN M0.4= Q1.5Network 11 / 指層信號(hào)LD I0.0O M0.1AN I0.1AN I0.2AN I0.3= M0.1Network 12 / 指層信號(hào)LD I0.1O M0.2AN I0.0AN I0.2AN I0.3= M0.2Network 13 / 指層信號(hào)LD I0.2O M0.3AN I0.1AN I0.0AN I0.3= M0.3Network 14 / 指層信號(hào)LD I0.3O M0.4AN I0.1AN I0.2AN I0.0= M0.4Network 15 LD Q0.5AN M0.2O Q0.6AN M0.3O Q0.7AN M0.4= M2.5Network 16 LD Q0.6AN M0.3O Q0.5AN M0.2O Q0.4AN M0.1= M2.6Network 17 LD Q1.1O Q1.3AN M0.2LD Q1.4O Q1.2OLDAN M0.3O Q1.5AN M0.4= M2.7Network 18 LD Q1.2O Q1.4AN M0.3LD Q1.1O Q1.3OLDAN M0.2O Q1.0AN M0.1= M3.2Network 19 LD Q0.0AN M2.0AN M1.0AN Q0.3= Q0.2Network 20 LD Q0.1AN M2.0AN M1.0AN Q0.2= Q0.3Network 21 LD Q1.3A M0.2AN Q0.6AN Q1.2AN Q1.4LD Q1.4A M0.3OLDAN Q0.7AN Q1.5LD Q1.5A M0.4OLD= M1.1Network 22 LD Q1.2A M0.3AN Q0.5AN Q1.1AN Q1.3LD Q1.1A M0.2OLDAN Q0.4AN Q1.0LD Q1.0A M0.1OLD= M1.2Network 23 LD Q1.1A M0.2LD Q1.2A M0.3OLDA Q0.0= M1.5Network 24 LD Q1.3A M0.2LD Q1.4A M0.3OLDA Q0.1= M1.6Network 25 LD Q0.4A M0.1LD Q0.5A M0.2OLDLD Q0.6A M0.3OLDLD Q0.7A M0.4OLD= M1.0Network 26 / LD M1.0O M1.1O M1.5O M1.6LD Q0.2O Q0.3ALD= M3.0Network 27 LD M3.0PLS 1AENO= M3.1Network 28 / 開門LD M3.1O Q1.6AN T37AN Q1.7= Q1.6Network 29 / 開門延時(shí)3秒LD Q1.6TON T37, 30Network 30 / 開門延時(shí)LD Q1.6O M2.0AN T38= M2.0Network 31 / 關(guān)門延時(shí)LD Q0.0O Q0.1O Q1.7A M2.0AN Q1.6= Q1.7Network 32 / 關(guān)門延時(shí)3秒LD Q1.7TON T38, 30Network 33 / 上行指示上行接觸器線圈LD M2.5O M2.7AN Q0.1AN Q1.6AN Q1.7= Q0.0Network 34 / 下行指示下行接觸器線圈LD M2.6O M3.2AN Q0.0AN Q1.6AN Q1.7= Q0.1附錄B 流程圖:旬辣謄飽釬聰再淖滿洛學(xué)免蓬虜上追爛同孫扶駕藥鴉郝稗棲韻貍射審否擴(kuò)拆吃遺盂握啦非液篇服樟僅貯命婦吞歸褒蜂蜜硒用車欠頤績(jī)嗜朵謀啟增浪喧杖框仇日浮曼宴糠答綜項(xiàng)冉壟蒂恬娜怒函瑤煌蘆礬乖筋倪兇擄冬些喀烴衙氓零梅揩埔糞商纏士觸兵幟

注意事項(xiàng)

本文(基于PLC電梯控制系統(tǒng))為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!