歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

全數(shù)字鎖相環(huán)畢業(yè)設(shè)計終稿(共22頁)

  • 資源ID:46405766       資源大?。?span id="thzbrbl" class="font-tahoma">401.50KB        全文頁數(shù):23頁
  • 資源格式: DOC        下載積分:20積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要20積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

全數(shù)字鎖相環(huán)畢業(yè)設(shè)計終稿(共22頁)

精選優(yōu)質(zhì)文檔-傾情為你奉上安徽大學本科畢業(yè)論文(設(shè)計、創(chuàng)作)題目: 全數(shù)字鎖相環(huán)的研究與設(shè)計 學生姓名:鄭義強 學號: P 院(系): 電子信息工程學院 專業(yè):微電子 入學時間:2011年 9月導(dǎo)師姓名:吳秀龍 職稱/學位:教授/博士 導(dǎo)師所在單位: 安徽大學電子信息工程學院 完成時間: 2015 年5月專心-專注-專業(yè) 全數(shù)字鎖相環(huán)的研究與設(shè)計摘 要鎖相環(huán)路的設(shè)計和應(yīng)用是當今反饋控制技術(shù)領(lǐng)域關(guān)注的熱點,它的結(jié)構(gòu)五花八門,但捕獲時間短,抗干擾能力強一直是衡量鎖相環(huán)性能好壞的一個標準。本文是在閱讀了大量國內(nèi)外關(guān)于全數(shù)字鎖相環(huán)的技術(shù)文獻的基礎(chǔ)上,總結(jié)了鎖相環(huán)的發(fā)展現(xiàn)狀與技術(shù)水平,深入分析了全數(shù)字鎖相環(huán)的基本結(jié)構(gòu)與基本原理,利用VHDL語言,采用自上而下的設(shè)計方法,設(shè)計了一款全數(shù)字鎖相環(huán).本文主要描述了一種設(shè)計一階全數(shù)字鎖相環(huán)的方法,首先分析了課題研究的意義、鎖相環(huán)的發(fā)展歷程研究現(xiàn)狀,然后描述了全數(shù)字鎖相環(huán)的各個組成部件,并且詳細分析了鎖相環(huán)鑒相器、變??赡嬗嫈?shù)器、加減脈沖電路、除H計數(shù)器和除N計數(shù)器各個模塊的工作原理。接著我們使用了VHDL語句來完成了鑒相器、數(shù)字濾波器和數(shù)字振蕩器的設(shè)計,并且分別使用仿真工具MAXplus II逐個驗證各個模塊的功能。最后,將各個模塊整合起來,建立了一個一階全數(shù)字鎖相環(huán)的電路,利用仿真工具MAXplus II 驗證了它的功能的能否實現(xiàn),仿真結(jié)果與理論分析基本符合。 關(guān)鍵詞:全數(shù)字鎖相環(huán);數(shù)字濾波器;數(shù)字振蕩器;鎖定時間Design and research of ALL Digital Phase-Locked LoopAbstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time目 錄1 緒論1.1 課題研究的目的意義 本次進行研究的課題是全數(shù)字鎖相環(huán)。鎖相環(huán)路是一種反饋電路,鎖相環(huán)的英文全稱是Phase-Locked Loop,簡稱PLL。其作用是使得電路上的時鐘和某一外部時鐘的相位同步。因鎖相環(huán)可以實現(xiàn)輸出信號頻率對輸入信號頻率的自動跟蹤,所以鎖相環(huán)通常用于閉環(huán)跟蹤電路。鎖相環(huán)在工作的過程中,當輸出信號的頻率與輸入信號的頻率相等時,輸出電壓與輸入電壓保持固定的相位差值,即輸出電壓與輸入電壓的相位被鎖住,這就是鎖相環(huán)名稱的由來。鎖相環(huán)在通信、雷達、測量和自動化控制等領(lǐng)域應(yīng)用極為廣泛,隨著電子技術(shù)向數(shù)字化方向發(fā)展,需要采用數(shù)字方式實現(xiàn)信號的鎖相處理。因此,對全數(shù)字鎖相環(huán)的研究和應(yīng)用得到了越來越多的關(guān)注。傳統(tǒng)的數(shù)字鎖相環(huán)系統(tǒng)是希望通過采用具有低通特性的環(huán)路濾波器,獲得穩(wěn)定的振蕩控制數(shù)據(jù)。對于高階全數(shù)字鎖相環(huán),其數(shù)字濾波器常常采用基于DSP 的運算電路1。這種結(jié)構(gòu)的鎖相環(huán),當環(huán)路帶寬很窄時,環(huán)路濾波器的實現(xiàn)將需要很大的電路量,這給專用集成電路的應(yīng)用和片上系統(tǒng)SOC(system on chip)的設(shè)計帶來一定困難。另一種類型的全數(shù)字鎖相環(huán)是采用脈沖序列低通濾波計數(shù)電路作為環(huán)路濾波器,如隨機徘徊序列濾波器、先N 后M 序列濾波器等2。這些電路通過對鑒相模塊產(chǎn)生的相位誤差脈沖進行計數(shù)運算,獲得可控振蕩器模塊的振蕩控制參數(shù)。1.2 鎖相環(huán)的發(fā)展歷程 21世紀以來,隨著數(shù)字電子技術(shù)的飛速發(fā)展,特別是數(shù)字模擬和信號處理技術(shù)在電子通訊、儀器儀表和各種多媒體等領(lǐng)域得到了愈來愈廣泛的應(yīng)用,用數(shù)字電路來處理模擬信號的情況也就越來越普遍。所以信息技術(shù)將來的發(fā)展趨勢必然是模擬信號的數(shù)字化,而數(shù)字鎖相環(huán)就是模擬信號數(shù)字化中極為重要的一部分。 鎖相環(huán)是一種能使輸出信號在頻率和相位上與輸出信號同步的電路,也就是說在系統(tǒng)進入了同步狀態(tài)后,系統(tǒng)的輸入信號與振蕩器的輸出信號一致,或者相差恒定為常數(shù)。在過去,傳統(tǒng)的鎖相環(huán)各部分的零件都是由模擬電路來構(gòu)成,一般來說包括鑒相器(PD)、壓控振蕩器(VCO)、環(huán)路濾波器(LF)這三個基本環(huán)路部件3。鎖相環(huán)最初的作用僅僅是用來提高電視接收機的行同步和幀同步,從而提高它的抗干擾能力。在20世紀五十年代末由于太空空間技術(shù)的不斷發(fā)展,鎖相環(huán)開始應(yīng)用于遙控和跟蹤宇宙中的大小飛行目標。 到了60年代初以后,數(shù)字通信系統(tǒng)的發(fā)展也越來越快,數(shù)字鎖相環(huán)也隨之出現(xiàn),并以其獨特的優(yōu)點逐漸取代模擬鎖相環(huán)??纱藭r的數(shù)字鎖相環(huán)中仍然有模擬的部件,性能也受到一定的影響。漸漸的,全數(shù)字鎖相環(huán)出現(xiàn)并逐步的發(fā)展起來了。全數(shù)字鎖相環(huán)將所有的環(huán)路部件全部數(shù)字化,主要由三個部件來構(gòu)成,分別是數(shù)字鑒相器、數(shù)字環(huán)路濾波器和數(shù)控振蕩器。由于模擬鎖相環(huán)存在著溫度漂移和易受電壓變化影響的缺點,全數(shù)字鎖相環(huán)的應(yīng)用越來越廣泛。它具備工作狀態(tài)穩(wěn)定,并且方便調(diào)節(jié)各種狀態(tài)等優(yōu)點,更重要的是,它的環(huán)路帶寬和中心頻率都可通過編程的方式來改變,可以更方便的去構(gòu)建高階鎖相環(huán)。同時由于它本身的數(shù)字特性,使得如果將他應(yīng)用在數(shù)字系統(tǒng)中時,可以省略掉A/D和D/A轉(zhuǎn)換。近些年來,隨著電子設(shè)計自動化(EDA)的迅猛發(fā)展,我們就可以很方便的使用VHDL語言來設(shè)計和模擬全數(shù)字鎖相環(huán)1.3 研究和發(fā)展國外關(guān)于鎖相環(huán)的技術(shù)是很先進的。從最開始的用分離器件組成的鎖相環(huán), 一種自動變模全數(shù)字鎖相環(huán)的設(shè)計 到后來集成電路出現(xiàn)后誕生的集成鎖相環(huán)。從模擬鎖相環(huán)到數(shù)字鎖相環(huán)再到全數(shù)字鎖相環(huán),還有后來的軟件鎖相環(huán)。如今,國外有關(guān)鎖相環(huán)的產(chǎn)品大體用的是3.3v的供電電壓,工作頻率的范圍是 100MHz至2.4GHz。 2003 年,美國國家半導(dǎo)體推出的PLLAtnum鎖相環(huán)芯片,操作頻率高達3GHz以上,適用于無線局域網(wǎng),508Hz室內(nèi)無繩電話、移動電話以及基站等應(yīng)用方案。2005又研發(fā)出了 LMX2351芯片,當時這款芯片是業(yè)界相位噪聲最低的鎖相環(huán)芯片。它的工作頻率是765MHz至2.79GHz,而且噪聲低于-160dB/Hz。應(yīng)用于通訊設(shè)備,無線收發(fā)系統(tǒng),車輛電子系統(tǒng)以及測量儀表。這款芯片采用的是當時新出的delta-sigma分數(shù)環(huán)路,達到了3G基站的要求,而且相位噪聲和寄生信號比較少,適合分離不同的信道,其效果遠遠優(yōu)于之前的N整數(shù)結(jié)構(gòu)。卓聯(lián)半導(dǎo)體公司第一次推出了ZL30461鎖相環(huán),應(yīng)用于網(wǎng)絡(luò)設(shè)備。這款鎖相環(huán)符合OC-12光學載波12級的通信要求,所以它能夠應(yīng)用于一些邊沿設(shè)備的線路卡的設(shè)計。 在中國,有關(guān)鎖相環(huán)的產(chǎn)品也很多。這是由于鎖相環(huán)在家用電器中的應(yīng)用極其廣泛。美國有個MOSSI計劃,設(shè)計了一些高性能的鎖相環(huán)系列的產(chǎn)品,如放大器(用于光傳輸)、時鐘恢復(fù)電路、數(shù)據(jù)判決器,這些產(chǎn)品不但擁有自主知識產(chǎn)權(quán),而且都是功耗很小,集成度相當高,工藝也十分先進。值得一提的是,我國東南大學的王志功教授也參與了MOSSI計劃,這將在一定程度上有利于國內(nèi)鎖相環(huán)技術(shù)的發(fā)展。第24研究所設(shè)計了我國的一款很高端的鎖相環(huán)SB3236,該鎖相環(huán)的工作頻率高達2.2GHz,而且主要性能參數(shù)也達到了國際先進的標準,所以使用SB3236的客戶也較多。此外,聯(lián)發(fā)科技(MTK)研發(fā)過一款全數(shù)字鎖相環(huán),用來小數(shù)分頻。為了抑制開關(guān)噪聲,該鎖相環(huán)利用了“數(shù)字輔助技術(shù)”。為了精準的檢測相位噪聲,該技術(shù)利用了數(shù)字時間轉(zhuǎn)換電路(TDC)和基于數(shù)字電路的鑒頻鑒相器。由于TDC電路存在一定的死區(qū),該技術(shù)還利用bang-bang鑒相器。 鎖相環(huán)技術(shù)已經(jīng)成為當今科技領(lǐng)域不可或缺的一種技術(shù)。國外的 PLL 技術(shù)已經(jīng)比較成熟了,相比之下,國內(nèi)的PLL技術(shù)幾乎被國外壟斷,國內(nèi)很少有企業(yè)掌握高新能PLL技術(shù)。所以對ADPLL深入研究有著很重要的意義。目前,已有單片集成全數(shù)字鎖相環(huán)的商用產(chǎn)品,但作為某一個實際項目設(shè)計,需要的鎖相電路特性不盡相同,有些現(xiàn)成的產(chǎn)品,不是成本高、體積大、資源浪費多,就是不能完全滿足設(shè)計性能的要求。根據(jù)位移檢測的特點,采用高密度可編程邏輯器件,可根據(jù)實際要求,充分利用器件資源,同時把一些相關(guān)的數(shù)字電路組合在一起,不僅提高了系統(tǒng)的集成度和可靠性,降低了功耗,降低了成本,而且使電路性能得到明顯改善4。1.4 設(shè)計工具及設(shè)計語言開發(fā)工具為MAXplus II,設(shè)計語言為VHDL,MAXplus II 開發(fā)工具是美國Altera公司自行設(shè)計的一種CAE軟件工具,其全稱為Multiple Array Matrix and Programmable Logic User System。它具有原理圖輸入,文本輸入(采用硬件描述語言)和波形圖輸入三種輸入手段,利用該工具所配備的編輯、編譯、仿真、綜合、芯片、編程等功能,將設(shè)計電路或電路描述程序變成基本的邏輯單元寫入到可編程的芯片中(如FPGA芯片),做成ASIC芯片5。目前MAXplus II是市場上使用最廣的開發(fā)工具軟件之一,是一個功能強大、使用方便的設(shè)計工具。VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,誕生于1982年。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式和描述風格與句法十分類似于一般的計算機高級語言。2 全數(shù)字鎖相環(huán)的結(jié)構(gòu)與工作原理全數(shù)字鎖相環(huán)的基本結(jié)構(gòu)框圖如圖1所示,由數(shù)字鑒相器、數(shù)字環(huán)路濾波器和數(shù)控振蕩器三部分組成。相位誤差序列相位校正序列本地估算信號參考信號鑒相器數(shù)字環(huán)路濾波器DCO外部晶振圖 1: 全數(shù)字鎖相環(huán)的基本結(jié)構(gòu)框圖 當環(huán)路失鎖時,異或門鑒相器比較輸入信號(fin)和輸出信號(fout)之間的相位差異,并產(chǎn)生K變??赡嬗嫈?shù)器的計數(shù)方向控制信號(dn/up); K變??赡嬗嫈?shù)器根據(jù)計數(shù)方向控制信號(dn/up)調(diào)整計數(shù)值,dn/up為高進行減計數(shù),并當計數(shù)值到達0時,輸出借位脈沖信號(borrow);為低進行加計數(shù),并當計數(shù)值達到預(yù)設(shè)的K模值時,輸出進位脈沖信號(carry);脈沖加減電路則根據(jù)進位脈沖信號(carry)和借位脈沖信號(borrow)在電路輸出信號(idout)中進行脈沖的增加和扣除操作,來調(diào)整輸出信號的頻率;重復(fù)上面的調(diào)整過程,當環(huán)路進入鎖定狀態(tài)時,異或門鑒相器的輸出se為一占空比50%的方波,而K變??赡嬗嫈?shù)器則周期性地產(chǎn)生進位脈沖輸出carry和借位脈沖輸出borrow,導(dǎo)致脈沖加減電路的輸出idout周期性的加入和扣除半個脈沖。這樣對于輸出的頻率沒有影響,也正是基于這種原理,可以把等概率出現(xiàn)的噪聲很容易的去掉6。圖2為全數(shù)字鎖相環(huán)的設(shè)計框圖Mf0finfoutCP1Ud進位脈沖借位脈沖decincCP22Nf0異或門鑒相器加/減脈沖控制器除H計數(shù)器除N計數(shù)器可逆計數(shù)器iout 圖2: ADPLL設(shè)計框圖其中數(shù)字鑒相器采用了異或門鑒相器;數(shù)字環(huán)路濾波器由變模可逆計數(shù)器構(gòu)成(模數(shù)K可預(yù)置);數(shù)控振蕩器由加/減脈沖控制器和除N 計數(shù)器構(gòu)成??赡嬗嫈?shù)器和加/減脈沖控制器的時鐘頻率分別為Mf0和2Nf0。這里f0是環(huán)路的中心頻率,一般情況下M 和N為2的整數(shù)冪。時鐘2Nf0經(jīng)除H(=M/2N)計數(shù)器得到。結(jié)合模擬和數(shù)字鎖相的理論分析,可以得到全數(shù)字鎖相環(huán)的相位和相差傳遞函數(shù)。圖3為全數(shù)字鎖相環(huán)的數(shù)學模型。圖3: 全數(shù)字鎖相環(huán)的數(shù)學模型鑒相器可以看做增益為Kd的模塊,輸出占空比因子k作為K變模計數(shù)器的輸入DN/ UP ,控制“ UPCOUNTER”和“ DOWNCOUNTER”的動作 。 (2.1)對于異或門鑒相器 ,相差等于/2時,k = 1 ,相差等于-/2時,k =-1 。因此對于異或門鑒相器增益Kd=2/,同理可得邊沿控制鑒相器增益Kd=1/。 K變模計數(shù)器產(chǎn)生CARRY信號的頻率為(f0為環(huán)路的中心頻率): (2.2)相應(yīng)的角頻率為: (2.3)相位是角頻率對時間的積分: (2.4)對于K 變模計數(shù)器,其輸入輸出信號分別為K 和carry , 對應(yīng)的Laplace變換為K(s)和carry(s), 所以K變模計數(shù)器的相位傳遞函數(shù)為: (2.5)對于脈沖加減電路,由于每個CARRY脈沖使其輸出IDOUT增加1/2個周期,可以將他看作增益為1/2的模塊。除N計數(shù)器可以看作增益為1/N的模塊。系統(tǒng)的相位傳遞函數(shù)H(s)表示為: (2.6) 其中: (2.7)系統(tǒng)的相差傳遞函數(shù)為: (2.8)顯而易見,該ADPLL為一階系統(tǒng),時間常數(shù)為: (2.9)為了獲得最小波紋,對于異或門(XOR)鑒相器和邊沿控制鑒相器(ECPD),K模值分別取為M/4 和M/2 ,相應(yīng)的時間常數(shù)分別為:(EXOR)=(N/8)T0 ,(ECPD) =(N/2)T0 ,其中T0 =1/f0 由此可見,N越小,ADPLL的穩(wěn)定時間越短。2.1 鑒相器 鑒相器將輸入信號與位同步輸入脈沖相異或,比較它們之間的相位差,并輸出相位誤差信號作為可逆計數(shù)器的計數(shù)方向的控制信號7。當環(huán)路鎖定時,這個控制信號為占空比是50%的方波。2.2 變??赡嬗嫈?shù)器(模數(shù)K可預(yù)置) K變??赡嬗嫈?shù)器消除了鑒相器輸出的誤差信號中的高頻部分,使得整個環(huán)路更加的穩(wěn)定。 可逆計數(shù)器的主要作用是根據(jù)鑒相器的相位誤差信號作為方向脈沖,從而輸出加減脈沖信號8。當相位誤差信號為低電平時,計數(shù)器則進行加法運算,若加法運算的結(jié)果達到了預(yù)設(shè)的模值,那么可逆計數(shù)器將輸出一個進位脈沖信號;當相位誤差信號為高電平時,可逆計數(shù)器進行減法運算,若減法運算的結(jié)果為0,那么計數(shù)器將輸出一個借位脈沖信號。2.3 加/減脈沖控制器 加減脈沖控制器是根據(jù)可逆計數(shù)器輸出的進位、借位脈沖來不斷地對本地時鐘進行調(diào)整。當有進位脈沖時,脈沖加減電路就在本地時鐘加入一個周期的時鐘信號;當輸入借位脈沖時,脈沖加減電路就會在本地時鐘上扣除一個周期的時鐘信號。這樣往復(fù)不斷地對本地時鐘進行調(diào)整,最終達到準確確定出輸入信號時鐘的目的,從而實現(xiàn)位同步。2.4 除H計數(shù)器除H計數(shù)器是將時鐘頻率進行分頻后的頻率作為數(shù)控振蕩器的時鐘頻率,其實,為了使電路簡單,可變模K計數(shù)器(數(shù)字環(huán)路濾波器)和數(shù)控振蕩器的時鐘驅(qū)動信號可由同一振蕩器產(chǎn)生,但為使可對數(shù)控振蕩器的時鐘頻率具有可調(diào)性,增加其靈活性,可將同一振蕩器產(chǎn)生時鐘信號進行分頻后再作為數(shù)控振蕩器的時鐘頻率,這就是除H計數(shù)器的功用(H是可變的)。2.5 除N計數(shù)器N分頻器是將脈沖加減器輸出的經(jīng)過調(diào)整以后的時鐘信號進行分頻,以減小同步誤差9。N值越大得到的 同步誤差越小。3 全數(shù)字鎖相環(huán)模塊的設(shè)計與仿真3.1 鑒相器的設(shè)計本次設(shè)計中鑒相器采用的是異或門鑒相器。異或門鑒相器用于比較輸入信號u1 與數(shù)控振蕩器輸出信號u2 的相位差,其輸出信號ud 作為可逆計數(shù)器的計數(shù)方向控制信號,連接到變??赡嬗嫈?shù)器的ud端。當ud 為低電平時(u1 和u2 有同極性時),可逆計數(shù)器作"加"計數(shù)。反之,當ud為高電平時,可逆計數(shù)器作"減"計數(shù)。 當環(huán)路鎖定時,fi和fo正交,鑒相器的輸出信號Ud為50%占空比的方波,此時定義相位誤差為零,在這種情況下,可逆計數(shù)器“加”和“減”的周期是相同的,只要可逆計數(shù)器只對其時鐘的k值足夠大(k>M/4),其輸出端就不會產(chǎn)生進位或借位脈沖,加/減脈沖控制器只對其時鐘2Nfo 進行二分頻,使fi和fo的相位保持正交。在環(huán)路未鎖定的情況下,若Ud=0即u1、u2同極性時,它使可逆計數(shù)器向上加計數(shù),并導(dǎo)致進位脈沖產(chǎn)生,進位脈沖作用到加/減脈沖控制器的“加”控制端R1, 該控制器便在二分頻過程中加入半個時鐘周期,即一個脈沖。反之,若Ud =1時,可逆計數(shù)器進行減計數(shù),導(dǎo)致借位脈沖產(chǎn)生,并將借位脈沖作用到加/減脈沖控制器的“減”輸入端R2,于是,該控制器便在二分頻過程中減去半個時鐘周期,即一個脈沖。這個過程是連續(xù)發(fā)生的。加/減脈沖控制器的輸出經(jīng)過除N計數(shù)后,使得本地估算信號U2 的相位受到調(diào)整控制,最終達到鎖定的狀態(tài)。異或門數(shù)字鑒相器VHDL程序代碼如下:library ieee;use ieee.std_logic_1164.all;entity jxq is port(u1,u2:in std_logic; ud:out std_logic);end entity jxq;architecture art of jxq is begin ud <=u1 xor u2;end architecture art ;異或門數(shù)字鑒相器模塊如圖4所示:圖4: 異或門鑒相器分析:數(shù)字鑒相器(JXQ)輸入端為U1和U2,其中U1為需要進行鎖相控制的輸入信號,U2為經(jīng)過最后一個環(huán)節(jié)除N(N在這里等于8)計數(shù)器后的信號(即輸出信號),異或門比較輸入信號U1相位和輸出信號U2相位之間的相位誤差,即U1和U2進行異或運算。經(jīng)過比較后,異或門輸出誤差信號UD作為JXQ的結(jié)果由UD端輸出。使用MAXplus II軟件仿真,異或門數(shù)字鑒相器的仿真波形如圖5所示:圖5: 鑒相器仿真波形模塊進行分別仿真時,u2需要自己賦值,在此u1和u2都賦以方波。如上圖4-10所示,u1與u2頻率相同,而相位差為90度,故ud輸出的信號頻率恰好時輸入信號的兩倍,即ud為占空比為50%的方波。3.2 數(shù)字環(huán)路濾波器的設(shè)計數(shù)字環(huán)路濾波器是由變??赡嬗嫈?shù)器構(gòu)成的。該計數(shù)器設(shè)計為一個9位可編程(可變模數(shù))可逆計數(shù)器,計數(shù)范圍是由外部置數(shù)CBA控制。假設(shè)系統(tǒng)工作無相位差,由鎖相環(huán)原理知,u1和u2的相位差0 ,異或門鑒相器輸出是一個對稱的方波,因此可逆計數(shù)器在相同的時間間隔內(nèi)進行加或減計數(shù),只要k 足夠大,那么從零開始的計數(shù)就不會溢出或不夠。若u1始落u2,異或門輸出不對稱,那么計數(shù)器加計數(shù)時間比減計數(shù)時間長,其結(jié)果計數(shù)器隨著時間的增長將溢出,產(chǎn)生一個進位脈沖。相反,若u1開始滯后u2,計數(shù)器將產(chǎn)生一個借位脈沖。進位和借位脈沖可用來控制DCO,使得DCO 輸出的脈沖數(shù)根據(jù)進位和借位來加上或者是刪除一些脈沖,實際上也就改變了DCO 的輸出頻率。變??赡嬗嫈?shù)器的設(shè)計由VHDL 完成,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bmkn is port(clk,ud,en,c,b,a:in std_logic; r1,r2:out std_logic); end entity bmkn;architecture behave of bmkn issignal cq,k,mo : std_logic_vector (8 downto 0);signal cao1,cao2: std_logic;signal instruction: std_logic_vector (2 downto 0);begininstruction <= c&b&a; with instruction select mo<=""when"001",""when"010",""when"011",""when"100",""when"101",""when"110",""when"111",""when others;process (clk,en,ud,k,cq) isbeginif clkevent and clk = 1then k <= mo; if en = 1then if ud = 0then if cq < k then cq <= cq + 1; else cq <= (others => 0); end if; else if cq > 0 then cq <= cq - 1; else cq <= k; end if; end if; else cq <= (others =>0) ; end if;end if;end process;process (en,ud,cq,k) isbeginif en =1thenif ud =0thenif cq =k then cao1 <= 1;else cao1 <= 0;end if;cao2 <= 0;elseif cq =""thencao2 <= 1;else cao2 <= 0;end if ;cao1 <= 0;end if;else cao1 <= 0;cao2 <= 0;end if;end process;r1 <= cao1; r2 <= cao2 ;end behave ;數(shù)字環(huán)路濾波器模塊如圖6所示:圖6: 數(shù)字環(huán)路濾波器模塊其中CBA為外部置數(shù)控制,由它來控制模數(shù),它在001-111范圍內(nèi)變化,相應(yīng)的模數(shù)在23-29范圍內(nèi)變化。UD與鑒相器的輸出端相連用來控制可逆計數(shù)器的計數(shù)方向。R1,R2分別為進位和借位輸出端。數(shù)字環(huán)路濾波器的仿真波形如圖7所示:圖7: 數(shù)字環(huán)路濾波器仿真波形在上圖中,因為是局部仿真,故ud輸入端自行賦值,在前250ns時,ud為高電平,故計數(shù)器進行減法運算,因為是從000開始減,故需要借位,r2置1,當ud在后250ns時為低電平,故計數(shù)器進行加法運算,當加到0FF后需進位,故r1置1。3.3 用VHDL語言實現(xiàn)除H計數(shù)器 除H計數(shù)器(H=4)的生成模塊和仿真波形見圖8和圖9圖8: 除H計數(shù)器模塊圖9: 除H計數(shù)器仿真波形3.4 用VHDL語言實現(xiàn)加/減脈沖控制器 脈沖加減電路的VHDL語句 library ieee ;use ieee .std_logic_1164 .all;use ieee .std_logic_unsigned .all;use ieee .std_logic_arith.all ;entity idc ispor t(idclk , reset :in std_logic ;inc , dec:in std_logic;idout :out std_logic);end idc ;architecture rtl of idc iscomponent dff2port(d , clk , clrn :in std_logic ;q, qn :out std_logic);end component;component jkff2port(j, k , clk , clrn :in std_logic ;q, qn :out std_logic);end component;signal q1 , q1n, q2 , q2n , q3 , q3n , q4, q4n, q5 , q5n:std_logic;signal q6 , q6n, q7 , q7n , q8 , q8n , q9, q9n, d7 , d8:std_logic ;beginffd1 :dff2 port map(inc , idclk, reset , q1, q1n);ffd2 :dff2 port map(dec, idclk , reset, q2 , q2n);ffd3 :dff2 port map(q1 , idclk, reset , q3, q3n);ffd4 :dff2 port map(q2 , idclk, reset , q4, q4n);ffd5 :dff2 port map(q3 , idclk, reset , q5, q5n);ffd6 :dff2 port map(q4 , idclk, reset , q6, q6n);d7 <=(q9 and q1n and q3)or(q9 and q5 and q3n);d8 <=(q9n and q2n and q4)or(q9n and q6 and q4n);ffd7 :dff2 port map(d7, idclk , reset , q7, q7n);ffd8 :dff2 port map(d8 , idclk, reset , q8, q8n);jk :jkff2 port map(q7n, q8n, idclk , re set , q9, q9n);idout <=idclk no r q9 ;end rtl ; 用VHDL語言設(shè)計的加減脈沖控制器的模塊圖如圖10 圖10: 脈沖加減電路模塊圖11: 脈沖加減電路仿真波形3.5 除N計數(shù)器(分頻器)的實現(xiàn) 除N計數(shù)器作用:除N計數(shù)器是將數(shù)控振蕩器的輸出信號進行N分頻后作為跟蹤信號u2,以使u2的頻率與本地時鐘信號u1相同。N分頻器對脈沖加/減電路的輸出脈沖再進行N分頻后,得到整個環(huán)路的輸出信號頻率Fout=CLK/(2N*H)=f0,因此通過改變分頻值N可以得到不同的環(huán)路中心頻率f0(其中,N必須是2的整數(shù)冪)。另外,模值N的大小決定了DPLL的鑒相靈敏度為/N。除N(N=8)計數(shù)器的生成模塊見圖12圖12: 除N計數(shù)器模塊相應(yīng)的仿真波形見圖13圖13: 除N計數(shù)器仿真波形 4. 全數(shù)字鎖相環(huán)的整體仿真 三個模塊均用VHDL語言所做后的總體仿真波形如圖14、圖15、圖16所示:鎖相環(huán)仿真波形(K=8,M=64,N=8,H=4),進入鎖定時間為12.3ns。圖14: 鎖相環(huán)仿真波形(K=8)由于模值K取值小,故系統(tǒng)很快就進入穩(wěn)定鎖定狀態(tài),由圖可以看出,當外部置數(shù)CBA取001且時鐘頻率取值遠遠小于輸入信號頻率,IOUT輸出端為均勻的脈沖,即系統(tǒng)鎖定。鎖相環(huán)仿真波形(K=128,M=64,N=8,H=4),鎖定時間為274.5ns。圖15: 鎖相環(huán)仿真波形(K=128)與圖14相比較,此時外部置數(shù)為101,對應(yīng)模值K為128,系統(tǒng)沒有立即穩(wěn)定,在前214.5ns時,IOUT輸出端輸出為不均勻脈沖,當過了這個時刻,輸出端輸出為均勻脈沖,系統(tǒng)進入鎖定狀態(tài)。鎖相環(huán)仿真波形(K=256,M=64,N=8,H=4),鎖定時間為2.3945us。圖16: 鎖相環(huán)仿真波形(K=256)與圖14、圖15相比較,圖16進入鎖定時間明顯推遲,此時模值K為256,進入穩(wěn)定時間為2.3945us。總體分析:CBA置數(shù)不同,模數(shù)不同,故iout端輸出鎖定的時間也都不同。由上圖對比可知,模k 愈大,環(huán)路進入鎖定狀態(tài)的時間越長。k 取得過大,對抑制噪聲、減少相位抖動有利,但是同時又加大了環(huán)路進入鎖定狀態(tài)的時間。反之, k 取得過小,可以加速環(huán)路的鎖定,而對噪聲的抑制能力卻隨之降低。5 結(jié)語與展望5.1 總結(jié)采用VHDL 設(shè)計全數(shù)字鎖相環(huán)路,具有設(shè)計靈活,修改方便和易于實現(xiàn)的優(yōu)點,并能夠制成嵌入式片內(nèi)鎖相環(huán)。該類數(shù)字鎖相環(huán)路中計數(shù)器的模數(shù)可以隨意修改,增加了系統(tǒng)應(yīng)用的靈活性與通用性,故有較大的改進。這樣,就能夠根據(jù)不同的情況最大限度地、靈活地設(shè)計環(huán)路。而且采用VHDL設(shè)計數(shù)字鎖相環(huán)路,具有設(shè)計靈活、修改方便和易于實現(xiàn)的優(yōu)點。同時,采用在系統(tǒng)可編程芯片實現(xiàn)有利于提高系統(tǒng)的集成度和可靠性?;赩HDL語言的可變模數(shù)數(shù)字鎖相環(huán),不僅簡化了硬件的開發(fā)和制作過程,而且使硬件體積大大減小,并提高了系統(tǒng)的可靠性。該方法可以在不修改硬件電路的基礎(chǔ)上,通過修改設(shè)計軟件、更改移相范圍就可滿足不同條件下的需要。 全數(shù)字鎖相環(huán)中可逆計數(shù)器及N分頻器的時鐘由外部晶振提供。不用VCO,可大大減輕溫度及電源電壓變化對環(huán)路的影響。5.2 展望目前,已有單片集成全數(shù)字鎖相環(huán)的商用產(chǎn)品,但作為某一個實際項目設(shè)計,需要的鎖相電路特性不盡相同,有些現(xiàn)成的產(chǎn)品,不是成本高、體積大、資源浪費多,就是不能完全滿足設(shè)計性能的要求。根據(jù)位移檢測的特點,采用高密度可編程邏輯器件,可根據(jù)實際要求,充分利用器件資源,同時把一些相關(guān)的數(shù)字電路組合在一起,不僅提高了系統(tǒng)的集成度和可靠性,降低了功耗,降低了成本,而且使電路性能得到明顯改善。主要參考文獻1 Lata,K, Kumar,M.ADPLL design and implementation on FPGA. Intelligent Systems and Signal Processing (ISSP), 2013 International Conferencenon,272-277 2 Hu, J.R, Ruby, R.C, Otis,B,P.A 1.5GHz 0.2psRMS jitter 1.5mW divider- less FBAR ADPLL in 65nm CMOS,Custom Integrated Circuits Conference(CICC), 2012,1-4 3 于洋.超高頻 RF 閱讀器電荷泵鎖相環(huán)設(shè)計.電子技術(shù)應(yīng)用,2012(12):34-36 4 蔣旭, 沈海斌. 應(yīng)用于 USB 全速設(shè)備中的鎖相環(huán)設(shè)計.計算機工程與設(shè)計 2013(6):53-55 5 耿曉勇 ,楊建紅 .無晶振快速鎖定高精度鎖相環(huán)設(shè)計.電子技術(shù)應(yīng)用,2013(3):34-36 6 徐棟.一種改進型快速入鎖電荷泵鎖相環(huán)的設(shè)計:蘇州大學碩士學位論文.蘇州:蘇州大學,2013,3-24 7 遠班軍兆,何希才.鎖相環(huán)(PLL)電路設(shè)計與應(yīng)用.科學出版社,2006,4-13 8 帥旗.基于FPGA的全數(shù)字鎖相環(huán)的設(shè)計與實現(xiàn):大連理工大學碩士學位論文.大連:大連理工大學,2003,5-13 9 王鑫.電荷泵鎖相環(huán)CMOS電路的設(shè)計:黑龍江大學碩士學位論文.哈爾濱:黑龍江大學,2012,3-32 10 鄭繼余,鎖相環(huán)原理與應(yīng)用.北京:人民郵電出版社,1976,43-56 11 龐輝.智能模數(shù)控制型全數(shù)字鎖相環(huán)的研究:安徽大學碩士學位論文.安徽大學,2012,6-24 12 Riad Stefo,J. Schreiter. High resolution ADPLL frequency synthesizer for FPGA and ASIC-based applications. Field Programmable Techno logy (FPT) 2003 Proceedings, IEEE International Conference,2003,56-5813 宋瀟,王麗萍,張雷鳴.基于 verilog 的加扣脈沖式數(shù)控振蕩器設(shè)計.電腦與電信,2009(2):68-7014 Pialis, K. Phang. Analysis of Timing Jitter in Ring Oscillators Due to Power Supply Noise. IEEE International Symposium on Circuits and Systems,2003 2003(1):32-34 致謝 這篇論文的順利完成,得到了許多方面的無私幫助和熱情支持。首先,我要衷心的感謝我的導(dǎo)師吳秀龍老師對我的悉心指導(dǎo)和熱情幫助。從課程的學習、論文的選題、開題報告、論文撰寫、修改,到最終論文完成的整個過程中,得到了吳老師熱情的鼓勵、富有啟發(fā)性的建議和精心的指導(dǎo),其中點點滴滴無不凝聚著吳老師的心血和汗水。非常感謝我的同學和朋友們,感謝他們在我學習和生活中給予我的大力支持和無私鼓勵,這段時光雖然短暫但卻美好燦爛,我將永遠難忘。非常感謝我的親人,他們對我始終如一的理解、默默無聞的大力支持及無私的幫助和鼓勵,使我得到不斷前行的巨大動力,也使我在求學的道路上感到無比的堅強和自信。非常感謝安徽大學多年的的培養(yǎng),這段學習經(jīng)歷將使我終生受益。感謝曾經(jīng)教育和幫助過我的所有老師。最后,再次懷著感恩的心感謝所有幫助過我的人!

注意事項

本文(全數(shù)字鎖相環(huán)畢業(yè)設(shè)計終稿(共22頁))為本站會員(20022****wzdgj)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!