歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

多功能數(shù)字鬧鐘的設(shè)計(jì)

  • 資源ID:5769027       資源大?。?span id="jlpxb8u" class="font-tahoma">317.79KB        全文頁(yè)數(shù):23頁(yè)
  • 資源格式: DOC        下載積分:5積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要5積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

多功能數(shù)字鬧鐘的設(shè)計(jì)

中國(guó)地質(zhì)大學(xué)(武漢)遠(yuǎn)程與繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文)遠(yuǎn)程與繼續(xù)教育學(xué)院本科畢業(yè)論文(設(shè)計(jì))題目:多功能數(shù)字鬧鐘的設(shè)計(jì) 學(xué)習(xí)中心: 內(nèi)蒙古學(xué)習(xí)中心 學(xué) 號(hào):090F31133063 姓 名: 趙恒秀 專 業(yè): 電氣工程及其自動(dòng)化 指導(dǎo)教師: 張偉 2015 年 8 月 5 日 中國(guó)地質(zhì)大學(xué)(武漢)遠(yuǎn)程與繼續(xù)教育學(xué)院本科畢業(yè)論文(設(shè)計(jì))指導(dǎo)教師指導(dǎo)意見(jiàn)表學(xué)生姓名:趙恒秀 學(xué)號(hào):090F31133063 專業(yè):電氣工程及其自動(dòng)化 畢業(yè)設(shè)計(jì)(論文)題目:多功能數(shù)字鬧鐘的設(shè)計(jì) 指導(dǎo)教師意見(jiàn):(請(qǐng)對(duì)論文的學(xué)術(shù)水平做出簡(jiǎn)要評(píng)述。包括選題意義;文獻(xiàn)資料的掌握;所用資料、實(shí)驗(yàn)結(jié)果和計(jì)算數(shù)據(jù)的可靠性;寫(xiě)作規(guī)范和邏輯性;文獻(xiàn)引用的規(guī)范性等。還須明確指出論文中存在的問(wèn)題和不足之處。)指導(dǎo)教師結(jié)論: (合格、不合格)指導(dǎo)教師姓名所在單位指導(dǎo)時(shí)間中國(guó)地質(zhì)大學(xué)(武漢)遠(yuǎn)程與繼續(xù)教育學(xué)院 本科畢業(yè)設(shè)計(jì)(論文)評(píng)閱教師評(píng)閱意見(jiàn)表學(xué)生姓名:趙恒秀 學(xué)號(hào):090F31133063 專業(yè):電氣工程及其自動(dòng)化 畢業(yè)設(shè)計(jì)(論文)題目:多功能數(shù)字鬧鐘的設(shè)計(jì) 評(píng)閱意見(jiàn):(請(qǐng)對(duì)論文的學(xué)術(shù)水平做出簡(jiǎn)要評(píng)述。包括選題意義;文獻(xiàn)資料的掌握;所用資料、實(shí)驗(yàn)結(jié)果和計(jì)算數(shù)據(jù)的可靠性;寫(xiě)作規(guī)范和邏輯性;文獻(xiàn)引用的規(guī)范性等。還須明確指出論文中存在的問(wèn)題和不足之處。)修改意見(jiàn):(針對(duì)上面提出的問(wèn)題和不足之處提出具體修改意見(jiàn)。評(píng)閱成績(jī)合格,并可不用修改直接參加答辯的不必填此意見(jiàn)。)畢業(yè)設(shè)計(jì)(論文)評(píng)閱成績(jī) (百分制): 評(píng)閱結(jié)論: (同意答辯、不同意答辯、修改后答辯)評(píng)閱人姓名所在單位評(píng)閱時(shí)間論文原創(chuàng)性聲明本人鄭重聲明:本人所呈交的本科畢業(yè)論文多功能數(shù)字鬧鐘的設(shè)計(jì),是本人在導(dǎo)師的指導(dǎo)下獨(dú)立進(jìn)行研究工作所取得的成果。論文中引用他人的文獻(xiàn)、資料均已明確注出,論文中的結(jié)論和結(jié)果為本人獨(dú)立完成,不包含他人成果及使用過(guò)的材料。對(duì)論文的完成提供過(guò)幫助的有關(guān)人員已在文中說(shuō)明并致以謝意。本人所呈交的本科畢業(yè)論文沒(méi)有違反學(xué)術(shù)道德和學(xué)術(shù)規(guī)范,沒(méi)有侵權(quán)行為,并愿意承擔(dān)由此而產(chǎn)生的法律責(zé)任和法律后果。 論文作者(簽字):趙恒秀 日期:2015年8月5日22中國(guó)地質(zhì)大學(xué)(武漢)遠(yuǎn)程與繼續(xù)教育學(xué)院本科畢業(yè)設(shè)計(jì)(論文)摘 要數(shù)字鬧鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無(wú)機(jī)械裝置,具有更長(zhǎng)的使用壽命,并且可以實(shí)現(xiàn)更多的功能,如:定時(shí)控制、整點(diǎn)報(bào)時(shí)、鬧鐘、觸摸報(bào)整點(diǎn)時(shí)數(shù)等,在現(xiàn)實(shí)生活中,各種數(shù)字鬧鐘已得到了非常廣泛的使用。數(shù)字鬧鐘的設(shè)計(jì)方法有許多種,例如可用中小規(guī)模集成電路組成數(shù)字鐘,也還可以利用單片機(jī)來(lái)實(shí)現(xiàn)數(shù)字鐘等。這些方法都各有其特點(diǎn),其中利用中小規(guī)模集成電路組建數(shù)字鐘,原理簡(jiǎn)單,但由于集成電路集成度有限,對(duì)于需要實(shí)現(xiàn)較多功能的電路設(shè)計(jì)比較復(fù)雜,對(duì)于制作者焊接和布線有較高的要求。用單片機(jī)實(shí)現(xiàn)的電子鐘具有結(jié)構(gòu)簡(jiǎn)單,并便于功能的擴(kuò)展,但需要涉及到匯編以及 C 語(yǔ)言編寫(xiě)程序,對(duì)設(shè)計(jì)者有較高的要求。本次設(shè)計(jì)為用中小規(guī)模集成電路組成數(shù)字鬧鐘。加入世貿(mào)組織以后,中國(guó)會(huì)面臨激烈的競(jìng)爭(zhēng)。這種競(jìng)爭(zhēng)將是一場(chǎng)科技實(shí)力、管理水平和人才素質(zhì)的較量,風(fēng)險(xiǎn)和機(jī)遇共存,同時(shí)電子產(chǎn)品的研發(fā)日新月異,不僅是在通信技術(shù)方面數(shù)字化取代于模擬信號(hào),就連我們的日常生活也進(jìn)于讓數(shù)字化取締。說(shuō)明數(shù)字時(shí)代已經(jīng)到來(lái),而且滲透于我們生活的方方面面。 就拿我們生活的實(shí)例來(lái)說(shuō)明一下“數(shù)字”給我們帶來(lái)的便捷。下面我們就以數(shù)字鐘為例簡(jiǎn)單介紹一下。數(shù)字鐘我們聽(tīng)到這幾個(gè)字,第一反應(yīng)就是我們所說(shuō)的數(shù)字,不錯(cuò)數(shù)字鐘就是以數(shù)字顯示取代模擬表盤(pán)的鐘表,在顯示上它用數(shù)字反應(yīng)出此時(shí)的時(shí)間,相比模擬鐘能給人一種一目了然的感覺(jué),不僅如此它還能同時(shí)顯示時(shí)、分、秒。而且能對(duì)時(shí)、分、秒準(zhǔn)確校時(shí),這是普通鐘所不及。與此同時(shí)數(shù)字鐘還能準(zhǔn)確定時(shí),在你所規(guī)定的時(shí)間里準(zhǔn)確無(wú)誤的想你發(fā)出報(bào)時(shí)聲音,提醒你在此時(shí)所需要去做的事。與舊式鐘表相比它更適用于現(xiàn)代人的生活。關(guān)鍵字: 數(shù)字鬧鐘 集成電路 計(jì)數(shù)器 顯示器 校時(shí)電路目錄一、中國(guó)鐘表發(fā)展史6二、數(shù)字鬧鐘的現(xiàn)狀8三、多功能數(shù)字鬧鐘硬件設(shè)計(jì)9(一)多功能數(shù)字鬧鐘的基本功能9(二)多功能數(shù)字鬧鐘的設(shè)計(jì)9(三)數(shù)字鐘電路系統(tǒng)的組成框圖9(四)振蕩器的設(shè)計(jì)9(五)分頻器的設(shè)計(jì)11(六)校時(shí)電路的設(shè)計(jì)13(七)主體電路部分13四、軟件設(shè)計(jì)15(一)軟件設(shè)計(jì)思路根據(jù)系統(tǒng)的功能15(二)程序流程圖16(三)計(jì)時(shí)中斷程序流程圖18(四)數(shù)字電子鐘的組裝與調(diào)試19五、結(jié)論20致謝21參考文獻(xiàn)22一、中國(guó)鐘表發(fā)展史中國(guó)是世界上最早發(fā)明計(jì)時(shí)儀器的國(guó)家。有史料記載,漢武帝太初年間(紀(jì)元前104-101年)由落下閎創(chuàng)造了我國(guó)最早的表示天體運(yùn)行的儀器渾天儀。東漢時(shí)期(公元130年)張衡創(chuàng)造了水運(yùn)渾天儀,為世界上最早的以水為動(dòng)力的觀測(cè)天象的機(jī)械計(jì)時(shí)器,是世界機(jī)械天文鐘的先驅(qū)。盛唐時(shí)代,公元725年張遂(又稱一行)和梁令瓚等人創(chuàng)制了水運(yùn)渾天銅儀,它不但能演示天球和日、月的運(yùn)動(dòng),而且立了兩個(gè)木人,按時(shí)擊鼓,按時(shí)打鐘。第一個(gè)機(jī)械鐘的靈魂擒縱器用于計(jì)時(shí)器,這是中國(guó)科學(xué)家對(duì)人類計(jì)時(shí)科學(xué)的偉大貢獻(xiàn)。它比十四世紀(jì)歐洲出現(xiàn)的機(jī)械鐘先行了六個(gè)世紀(jì)。第一只石英鐘出現(xiàn)在二十世紀(jì)二十年代,從三十年代開(kāi)始得到了推廣,從六十年代開(kāi)始,由于應(yīng)用半導(dǎo)體技術(shù),成功地解決了制造日用石英鐘問(wèn)題,石英電子技術(shù)在計(jì)時(shí)領(lǐng)域得到了廣泛的應(yīng)用。并取代機(jī)械鐘做了更精確的時(shí)間標(biāo)準(zhǔn)。早在1880年,法國(guó)人皮埃爾居里和保羅雅克居里就發(fā)現(xiàn)了石英晶體有壓電的特性,這是制造鐘表“心臟”的良好材料??茖W(xué)家以石英晶體制成的振蕩計(jì)時(shí)器和電子鐘組合制成了石英鐘。經(jīng)過(guò)測(cè)試,一只高精度的石英鐘表,每年的誤差僅為3-5秒。1942年,著名的英國(guó)格林尼治天文臺(tái)也開(kāi)始采用了石英鐘作為計(jì)時(shí)工具。在許多場(chǎng)合,它還經(jīng)常被列為頻率的基本標(biāo)準(zhǔn),用于日常測(cè)量與檢測(cè)。大約在1970年前后,石英鐘表開(kāi)始進(jìn)入市場(chǎng),風(fēng)靡全球。隨著科學(xué)的進(jìn)步,精密的電子元件不斷涌現(xiàn),石英鐘表也開(kāi)始變得小巧精致,它既是實(shí)用品,也是裝飾品。它為人們的生活提供方便,更為人們的生活增添了新的色彩。在現(xiàn)行情況下根據(jù)簡(jiǎn)單實(shí)用強(qiáng)的、走時(shí)準(zhǔn)確進(jìn)行設(shè)計(jì)。而實(shí)驗(yàn)證明,鐘表的振蕩部分采用石英晶體作為時(shí)基信號(hào)源時(shí),走時(shí)更精確、調(diào)整更方便。鐘是一種計(jì)時(shí)的器具,它的出現(xiàn)開(kāi)拓了時(shí)間計(jì)量的新里程。提起時(shí)鐘大家都很熟悉,它是給我們指明時(shí)間的一種計(jì)時(shí)器,并且我們每天都要用到它。二十世紀(jì)八十年代中國(guó)的鐘表業(yè)經(jīng)歷了一場(chǎng)翻天覆地的大轉(zhuǎn)折。其表現(xiàn)在三個(gè)方面:1、從生產(chǎn)機(jī)械表轉(zhuǎn)為石英電子表;2、曾占據(jù)中國(guó)消費(fèi)市場(chǎng)四十多年的大型國(guó)有企業(yè)突然被剛剛冒起的“組業(yè)”所取代,鐘表生產(chǎn)中心轉(zhuǎn)向中國(guó)南方沿海一帶;3、中國(guó)鐘表業(yè)發(fā)展從以機(jī)芯為龍頭改為以手表外觀件為龍頭。這場(chǎng)轉(zhuǎn)折以迅雷不及掩耳的速度,沖擊著傳統(tǒng)的中國(guó)鐘表工業(yè)。中國(guó)的鐘表業(yè)從技術(shù)簡(jiǎn)單、零件少的石英鐘機(jī)芯制造入手。最初石英鐘機(jī)芯全靠從日本、德國(guó)進(jìn)口,1989年開(kāi)始完全自己生產(chǎn),包括模具的制造加工。近十余年,逐漸提高機(jī)芯質(zhì)量的穩(wěn)定性,同時(shí)轉(zhuǎn)向?qū)κ直頇C(jī)芯研制與開(kāi)發(fā)。目前石英鐘表機(jī)芯生產(chǎn)主要在福建省福州、廣東東莞、番禺;機(jī)械鐘表機(jī)芯在上海、山東等地。數(shù)字鐘被廣泛用于個(gè)人家庭,車(chē)站,碼頭、辦公室等公共場(chǎng)所,成為人們?nèi)粘I钪械谋匦杵?。由于?shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度,運(yùn)用超過(guò)老式鐘表,鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大的方便。而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播、自動(dòng)起閉路燈、定時(shí)開(kāi)關(guān)烘箱、通斷動(dòng)力設(shè)備、甚至各種定時(shí)電氣的自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。二、數(shù)字鬧鐘的現(xiàn)狀現(xiàn)在我國(guó)的電子業(yè)發(fā)展非??焖伲娮訕I(yè)的發(fā)展有利于鐘表業(yè)的發(fā)展。在中國(guó)鐘表發(fā)展史上,國(guó)產(chǎn)機(jī)芯研制的失敗已經(jīng)成為過(guò)去,“組裝業(yè)”作為新興鐘表工業(yè)的起步階段也已成為過(guò)去。一支新的充滿智慧的鐘表精英在成長(zhǎng)。我們相信在科技高速發(fā)展的今天,鐘表業(yè)運(yùn)用當(dāng)今材料工業(yè)、電子工業(yè)和其他領(lǐng)域的最新技術(shù),一定會(huì)生產(chǎn)出代表中國(guó)科學(xué)水平的產(chǎn)品。我們希望鐘表業(yè)的精英們?cè)谔岣咧圃旒夹g(shù)水平中不斷創(chuàng)新,培育出擁有自主知識(shí)產(chǎn)權(quán)的品牌。由于數(shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度,運(yùn)用超過(guò)老式鐘表,鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大的方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播、自動(dòng)起閉路燈、定時(shí)開(kāi)關(guān)烘箱、通斷動(dòng)力設(shè)備、甚至各種定時(shí)電氣的自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。三、多功能數(shù)字鬧鐘硬件設(shè)計(jì)(一)多功能數(shù)字鬧鐘的基本功能 1.準(zhǔn)確計(jì)時(shí),以數(shù)字形式顯示時(shí)、分、秒的時(shí)間。2.小時(shí)的計(jì)時(shí)要求為“12翻1,分和秒的計(jì)時(shí)要求為60進(jìn)位。3.校正時(shí)間。 4、定時(shí)控制。 5.仿廣播電臺(tái)正點(diǎn)報(bào)時(shí)。6報(bào)整點(diǎn)時(shí)數(shù)。7觸摸報(bào)整點(diǎn)時(shí)數(shù)。(二)多功能數(shù)字鬧鐘的設(shè)計(jì)1、數(shù)字鐘的構(gòu)成數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1HZ)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間(如北京時(shí)間)一致,故需要在電路上加一個(gè)校時(shí)電路,同時(shí)標(biāo)準(zhǔn)的1HZ時(shí)間信號(hào)必須做到準(zhǔn)確穩(wěn)定。通常使用石英晶體振蕩器電路構(gòu)成數(shù)字鐘。(三)數(shù)字鐘電路系統(tǒng)的組成框圖主體電路是由功能部件或單元電路組成的。在設(shè)計(jì)這些電路或選擇部件時(shí),盡量選用同類型的器件,如所有功能部件都采用TTL集成電路或都采用CMOS集成電路。整個(gè)系統(tǒng)所用的器件種類應(yīng)盡可能少。下面介紹各功能部件與單元電路的設(shè)計(jì)。(四)振蕩器的設(shè)計(jì)1、振蕩器是數(shù)字鐘的核心。石英晶體振蕩器的特點(diǎn)是振蕩頻率準(zhǔn)確、電路結(jié)構(gòu)簡(jiǎn)單、頻率調(diào)整。它還具有壓電效應(yīng),在晶體某一方向加一電場(chǎng),則在與此垂直的方向產(chǎn)生機(jī)械振動(dòng),有了機(jī)械振動(dòng),就會(huì)在相應(yīng)的垂直面上產(chǎn)生電場(chǎng),從而使機(jī)械振動(dòng)和電場(chǎng)互為因果,這種循環(huán)過(guò)程一直持續(xù)到晶體的機(jī)械強(qiáng)度限制時(shí),才達(dá)到最后穩(wěn)定,這種壓電諧的頻率即為晶體振蕩器的固有頻率,如果精度要求不高,可采用集成電路555定時(shí)器與RC組成的多諧振蕩器。振蕩器的穩(wěn)定度及頻率的精確度決定了數(shù)字鐘計(jì)時(shí)的準(zhǔn)確程度,通常選用石英晶體構(gòu)成振蕩器電路。一般來(lái)說(shuō),振蕩器的頻率越高,計(jì)時(shí)精度越高。如圖1所示為電子手 表集成電路(如5C702)中的晶體振蕩器電路,常取晶振的頻率為32768Hz,因其內(nèi)部有15級(jí)2分頻集成電路,所以輸出端正好可得到1Hz的標(biāo)準(zhǔn)脈沖。圖1:晶體振蕩器電路圖 2、如果精度要求不高也可以采用由集成邏輯門(mén)與RC組成的時(shí)鐘源振蕩器或由集成電路定時(shí)器555與RC組成的多諧振蕩器。這里設(shè)振蕩頻率fo=1kHz,電路參數(shù)如圖2所示:C1C2圖2:555振蕩器電路(五)分頻器的設(shè)計(jì)提供功能擴(kuò)展電路所需要的信號(hào),如仿電臺(tái)報(bào)時(shí)用的1kHz的高音頻信號(hào)和500Hz的低音頻信號(hào)等。 1、碼制,5421碼制表用四位二進(jìn)制碼的十六種組合作為代碼,取其中十種組合來(lái)表示0-9這十個(gè)數(shù)字符號(hào)。通常,把用四位二進(jìn)制數(shù)碼來(lái)表示一位十進(jìn)制數(shù)稱為二-十進(jìn)制編碼,也叫做BCD碼,見(jiàn)表1十進(jìn)制8421碼5421碼十進(jìn)制8421碼5421碼0000000005010110001001000016011010012001000107011110103001100118100010114010001000910011100表1:8421碼制和5421碼制2、分頻器的具體工作原理分頻器的功能主要有兩個(gè):一是產(chǎn)生標(biāo)準(zhǔn)秒脈沖信號(hào);二是提供功能擴(kuò)展電路所需的信號(hào),如圖3所示,仿電臺(tái)報(bào)時(shí)用的1kHz的高音頻信號(hào)和500Hz的低音頻信號(hào)等.選用3片中規(guī)模集成電路計(jì)數(shù)器74LS90可完成上述功能.因每片為1/10分頻,3片級(jí)聯(lián)則可獲得所需要的頻率信號(hào),即第一片的Q0端的輸出頻率為500Hz,第二片的Q3端輸出的是10Hz,第三片的Q3端輸出為1Hz.圖3:分頻電路設(shè)計(jì)3、時(shí)分秒計(jì)數(shù)器的設(shè)計(jì)分和秒計(jì)數(shù)器都是模數(shù) M=60的計(jì)數(shù)器,其計(jì)數(shù)規(guī)律為00-01- 58-59-00選74LS92作十位計(jì)數(shù)器,74LS160作個(gè)位計(jì)數(shù)器,將其級(jí)聯(lián)成模數(shù)M=60的計(jì)數(shù)器。時(shí)計(jì)數(shù)器是一個(gè)“24翻1”的特殊進(jìn)制計(jì)數(shù)器,當(dāng)數(shù)字中運(yùn)行到23時(shí)59分59秒,下一個(gè)數(shù)將變?yōu)?0時(shí)00分00秒。實(shí)現(xiàn)日常生活習(xí)慣用的技術(shù)規(guī)律。4、驅(qū)動(dòng)電路 結(jié)合教材上所學(xué)到的的知識(shí),CD4511 作為驅(qū)動(dòng)芯片有它特有的優(yōu)勢(shì)。它不僅是我們所熟悉的共陰極數(shù)碼管驅(qū)動(dòng)芯片,而且驅(qū)動(dòng)電流加大、價(jià)格適中。所以選擇CD4511做驅(qū)動(dòng)芯片。5、分計(jì)數(shù)電路部分 這部分的調(diào)試電路與秒計(jì)數(shù)器的電路一樣,在調(diào)試中不同的是秒計(jì)數(shù)電路的個(gè)位計(jì)數(shù)器74LS90的14腳接入振蕩電路部分的輸出端,而分計(jì)數(shù)電路的個(gè)位計(jì)數(shù)器74LS90的14腳本該接校時(shí)電路,但是由于校時(shí)電路作為最后調(diào)試的電路所以在調(diào)試中74LS90的14腳與單次脈沖連接調(diào)試的結(jié)果是這部分的結(jié)果與秒計(jì)數(shù)電路部分的結(jié)果一樣。校時(shí)電路部分 在整個(gè)電路的設(shè)計(jì)中,需要用到兩個(gè)校時(shí)電路,兩個(gè)校時(shí)電路的功能相同,它們不同的是在電路的設(shè)計(jì)時(shí),校分電路比時(shí)電路少一個(gè)反相器,這是因?yàn)?4LS191為高電平有效而74LS90為低電平有效。調(diào)試的結(jié)果是:當(dāng)開(kāi)關(guān)斷開(kāi)時(shí),分計(jì)數(shù)電路,小時(shí)計(jì)數(shù)電路正常計(jì)數(shù),當(dāng)開(kāi)關(guān)閉合時(shí),校時(shí)電路進(jìn)行校時(shí)。只是有時(shí)松開(kāi)按鍵時(shí),較時(shí)數(shù)。如果接上R-S觸發(fā)器就能夠消抖。 6、擴(kuò)展電路部分 擴(kuò)展部分的調(diào)試是在主體部分正確的情況下,才能完成的。有些也可模擬調(diào)試。定時(shí)控制:擴(kuò)展部分的調(diào)試是在主體部分正確的情況下,才能完成的。單獨(dú)在實(shí)驗(yàn)箱上可以調(diào)試其電路的輸入就用模擬開(kāi)關(guān)輸入高低電平。只要輸入的變化下能夠控制風(fēng)鳴器工作就行。因?yàn)檫@部分的電路比較簡(jiǎn)單、原理也不難。所以這部分調(diào)試很快,一切很順利。 有了以上主要在DA軟件虛擬平臺(tái)上實(shí)現(xiàn),主體電路的功能接上電源后就能實(shí)現(xiàn):能顯示時(shí)、分、秒的時(shí)間;小時(shí)的計(jì)數(shù)為“12翻1”,分和秒的計(jì)時(shí)為60進(jìn)位;擴(kuò)展部分:定時(shí)控制能夠校時(shí)、分。(六)校時(shí)電路的設(shè)計(jì)當(dāng)數(shù)字鐘接通電源或者計(jì)數(shù)出現(xiàn)誤差時(shí),需要校正時(shí)間。校時(shí)是數(shù)字鐘應(yīng)具備的基本功能。一般電子手表都具有時(shí),分,秒等校時(shí)功能。為了使電路簡(jiǎn)單,這里只進(jìn)行分和小時(shí)的校時(shí)。對(duì)校時(shí)電路的要求是,在小時(shí)校正時(shí)不影響分和秒的正常計(jì)數(shù);在分校正時(shí)不影響秒和小時(shí)的正常計(jì)數(shù)。校時(shí)方式有“快校時(shí)”和“慢校時(shí)”兩種,“快校時(shí)”是通過(guò)開(kāi)關(guān)控制,使計(jì)數(shù)器對(duì)1Hz的校時(shí)脈沖計(jì)數(shù)?!奥r(shí)”是用手動(dòng)產(chǎn)生單脈沖作為校時(shí)脈沖。圖8示電路為?!皶r(shí)”,?!胺帧彪娐?。其中S1為?!胺帧庇玫目刂崎_(kāi)關(guān),S2為?!皶r(shí)”用的控制開(kāi)關(guān),他們的控制功能如圖表示。校時(shí)脈沖采用分頻器輸出的1Hz脈沖,當(dāng)S1或S2分別為“0”時(shí)可以進(jìn)行快校時(shí)。如果校時(shí)脈沖由單次脈沖產(chǎn)生器提供,則可以進(jìn)行慢校時(shí)。(七)主體電路部分(1)振蕩電路部分我先用的是32768HZ的晶振和反向器74LS00接兩個(gè)電阻和兩個(gè)電容組成的振蕩電路,產(chǎn)生32768HZ的方波信號(hào),經(jīng)過(guò)15級(jí)二分頻后得到1HZ的基準(zhǔn)脈沖。擴(kuò)展部分所需的頻率可以從5級(jí)二分頻得到1024HZ六級(jí)二分頻得到512HZ但是這樣用的集成塊較多,時(shí)間延遲較長(zhǎng)。用555 123&74LS0312456&A74LS20A,多諧振蕩方波也可,就是精確度和穩(wěn)定度不高。后來(lái)我就用的1MHZ的晶振產(chǎn)生1MHZ的頻率經(jīng)過(guò)74LS90組成的二-五-十的分頻器,可很好的擴(kuò)展部分所需的頻率。只是要用六塊74LS90,后來(lái)我查了手冊(cè),現(xiàn)4518有兩片十進(jìn)制分頻器,功能與74LS90又基本上相同,這樣就可少用集成塊,減少時(shí)間延時(shí)。 在現(xiàn)用電路調(diào)試中,晶振的輸出頻率為1MHz,用三片CD4518組成了六級(jí)十分頻電路,在調(diào)試中我對(duì)每級(jí)分路進(jìn)行了測(cè)試。在第一級(jí)分頻后出現(xiàn)的脈沖信號(hào)為100KHz,經(jīng)過(guò)第二級(jí)得到了10KHz的標(biāo)準(zhǔn)脈沖,這樣一級(jí)級(jí)的分頻,經(jīng)過(guò)六次分頻后得到了標(biāo)準(zhǔn)的1Hz脈沖信號(hào)。計(jì)數(shù)電路部分小時(shí)計(jì)數(shù)部分這部分電路較復(fù)雜,在第一次焊接完成后的調(diào)試顯示中,發(fā)現(xiàn)小時(shí)的十位沒(méi)有變化,經(jīng)過(guò)分析、檢查發(fā)現(xiàn)74LS74的3腳沒(méi)有接上。(2)秒計(jì)數(shù)電路這部分的調(diào)試中順利得到了結(jié)果即:秒計(jì)數(shù)器的個(gè)位能準(zhǔn)確以十進(jìn)制形式計(jì)數(shù);秒計(jì)數(shù)器的十位也能準(zhǔn)確以六進(jìn)制的形式計(jì)數(shù)。當(dāng)秒計(jì)數(shù)器的個(gè)位計(jì)數(shù)到9后自動(dòng)向秒數(shù)器的十位計(jì)數(shù)。四、軟件設(shè)計(jì) (一)軟件設(shè)計(jì)思路根據(jù)系統(tǒng)的功能可以將程序分為5個(gè)功能模塊,包括LED數(shù)碼管掃描模塊、時(shí)間計(jì)時(shí)模塊、校時(shí)模塊、鬧鐘定時(shí)模塊和鍵盤(pán)掃描模塊。1、LED數(shù)碼管掃描模塊在采用動(dòng)態(tài)掃描方式時(shí),要使得LED顯示得比較均勻,又有足夠的亮度,需要設(shè)置適當(dāng)?shù)膾呙桀l率。當(dāng)掃描頻率在70Hz左右時(shí),能夠產(chǎn)生足夠的圖形和比較好的效果。在每一位LED顯示后調(diào)用一個(gè)延時(shí)程序。采用實(shí)驗(yàn)平臺(tái)時(shí),插孔CS1用于數(shù)碼管段選的輸出選通,插孔CS2用于數(shù)碼管位選信號(hào)的輸出選通。在編程時(shí),只需要先輸出數(shù)據(jù)選通相應(yīng)的位,再輸出顯示數(shù)據(jù)。顯示數(shù)據(jù)輸出前要將數(shù)據(jù)轉(zhuǎn)換為顯示代碼。2、時(shí)間計(jì)時(shí)模塊計(jì)時(shí)是采用硬件計(jì)時(shí)和軟件計(jì)時(shí)相結(jié)合的方法。硬件定時(shí)100ms,產(chǎn)生中斷。8253定時(shí)的時(shí)間是100ms,clk0的輸入時(shí)鐘f46875hz,所以計(jì)數(shù)初值為124FH。分別用Hour、Min、Sec來(lái)存儲(chǔ)時(shí)分秒值。在中斷服務(wù)程序中,計(jì)數(shù)到1秒的間時(shí),Sec加1。當(dāng)Sec加到60的時(shí)候進(jìn)一,Min值加1。當(dāng)Min等于60時(shí),Hour值加1,Hour值等于24時(shí)清零開(kāi)始下一次循環(huán)。 3、校時(shí)模塊當(dāng)校時(shí)鍵按下時(shí),進(jìn)入校時(shí)中斷程序。停止計(jì)數(shù)器,開(kāi)始鍵盤(pán)掃描。當(dāng)鍵盤(pán)是A鍵時(shí),校正的相應(yīng)值為加1,若為B鍵時(shí),校正的相應(yīng)值為減1。C鍵負(fù)責(zé)在相應(yīng)的位之間切換。具體流程見(jiàn)校時(shí)中斷程序的流程圖。4、鬧鈴定時(shí)模塊當(dāng)鬧鈴定時(shí)鍵按下時(shí),進(jìn)入鬧鈴定時(shí)中斷程序。開(kāi)始鍵盤(pán)掃描。當(dāng)鍵盤(pán)是A鍵時(shí),定時(shí)的相應(yīng)值為加1,若為B鍵時(shí),定時(shí)的相應(yīng)值為減1。C鍵負(fù)責(zé)在相應(yīng)的位之間切換。具體流程同校時(shí)中斷程序流程圖。5、鍵盤(pán)掃描模塊采用的是行反轉(zhuǎn)法來(lái)掃描鍵盤(pán)的,調(diào)用鍵盤(pán)掃描的程序TESTKEY后,程序中的變量KEY的值就是按下的鍵的值,如果KEY為0FFH則表示無(wú)鍵盤(pán)輸入,為015則按照上往下從左往右的順序分別代表每一個(gè)按鍵按下的信息。判斷鍵值進(jìn)行相應(yīng)的操作。(二)程序流程圖1、主程序流程圖如圖4開(kāi)始系統(tǒng)初始化數(shù)碼管掃描輸出開(kāi) 始系統(tǒng)初始化數(shù)碼管掃描輸出圖4主程圖流程圖2、校時(shí)中斷程序流程圖如圖5校 時(shí) 中 斷中 斷 保 護(hù)PAO輸出停止計(jì)數(shù)器讀 鍵 值是A盤(pán)?是B盤(pán)?是C盤(pán)?讀 鍵 值是A盤(pán)?是B盤(pán)?是C盤(pán)?PAO輸出啟動(dòng)計(jì)數(shù)器中 斷 返 回YYNYYNMin+1-MinHour+1-HourHour-1-HourMin-1-Min圖5:校時(shí)中斷程序的流程圖(三)計(jì)時(shí)中斷程序流程圖如圖6NY定時(shí)中斷中斷保護(hù)Cnl-I-CnlCnt=10?0-CntScc-I-SccLloui=60?0-Hour時(shí)分是定時(shí)時(shí)間PBO輸出恢復(fù)中斷中斷返回NNY圖6:計(jì)時(shí)中斷程序流程圖(四)數(shù)字電子鐘的組裝與調(diào)試1、 數(shù)字中系統(tǒng)組成框圖按照信號(hào)的流向分級(jí)安裝,逐級(jí)級(jí)聯(lián)。這里的每一級(jí)是指成數(shù)字中的各個(gè)功能電路。級(jí)聯(lián)時(shí)如果出現(xiàn)時(shí)序配合不同步,或劍鋒脈沖干擾,引起的邏輯混亂,可以增加多級(jí)邏輯門(mén)來(lái)延時(shí)。如果顯示字符變化很快,模糊不清,可能是由于電源電流的跳變引起的,可在集成電路器件的電源端Vcc加退藕濾波電容。通常用幾十微法的大電容與0.01F的小電容相并聯(lián)。.2、 實(shí)驗(yàn)結(jié)論通過(guò)運(yùn)用數(shù)字集成電路設(shè)計(jì)的24小時(shí)制的數(shù)字電子時(shí)鐘,經(jīng)過(guò)試驗(yàn),成功實(shí)現(xiàn)了一下基本功能:(1).能準(zhǔn)確計(jì)時(shí),以數(shù)字形式顯示時(shí)、分、秒的時(shí)間。(2).能實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)的功能,并分別在51秒、53秒、55秒、57秒、59秒實(shí)現(xiàn)了四短一長(zhǎng)”的報(bào)時(shí)效果。(3).能定時(shí)控制,且能驚醒校正時(shí)間(通過(guò)開(kāi)關(guān)調(diào)時(shí)、分)。3、調(diào)試在本設(shè)計(jì)中,為了設(shè)計(jì)的順利進(jìn)行,我在實(shí)驗(yàn)箱上進(jìn)行了部分調(diào)試,因?yàn)殡娐诽珡?fù)雜,在實(shí)驗(yàn)箱上不可能整體電路進(jìn)行調(diào)試。調(diào)試后,我就自己焊接了一個(gè)試驗(yàn)板進(jìn)行調(diào)試。以確保最后能很好的完成其各部分功能。調(diào)試后,我就畫(huà)PCB圖,用來(lái)制印制板。因?yàn)镻CB圖先畫(huà),后經(jīng)過(guò)反復(fù)考慮振蕩電路部分改進(jìn)了,最后用的是1MHZ的晶振經(jīng)過(guò)三片CD4518六次分頻就能得到1HZ的頻率。所以在印制板外加了一個(gè)振蕩部分電路。 五、結(jié)論 通過(guò)本次畢業(yè)設(shè)計(jì),我明白了一個(gè)道理:無(wú)論做什么事情,都必需養(yǎng)成嚴(yán)謹(jǐn),認(rèn)真,善思的工作作風(fēng).我這畢業(yè)設(shè)計(jì)由于我采用的是集成電路,所以電路較復(fù)雜,但是容易理解.每一部分我都能理解并且能有多種設(shè)計(jì)方法.通過(guò)這次設(shè)計(jì),我還掌握了制集成電器設(shè)計(jì)的的一系列步驟,在幾個(gè)月時(shí)間里,通過(guò)杳閱資料,還有指導(dǎo)老師的指點(diǎn),對(duì)多功能數(shù)字鬧鐘的設(shè)計(jì)從基本結(jié)構(gòu)到主體設(shè)計(jì),最后,對(duì)其調(diào)試和軟件設(shè)計(jì)也作了一些介紹。通過(guò)這次畢業(yè)設(shè)計(jì),我不僅掌握了些元器件的用途以及它們的參數(shù)、性能,更重要的是通過(guò)這次設(shè)計(jì)提高了我理論和實(shí)踐相結(jié)合的能力,增加了把理論用于實(shí)踐的興趣,同時(shí)也提高了我分析問(wèn)題和解決問(wèn)題的能力。做什么事情沒(méi)有最好,只有更好。我相信通過(guò)這一次的畢業(yè)設(shè)計(jì)之后,我以后會(huì)更加努力,用嚴(yán)謹(jǐn)?shù)目茖W(xué)態(tài)度去面對(duì)一切。克服困難,戰(zhàn)勝自我,超越自我。我要努力在今后走向社會(huì)中,在工作中做得更好。致謝 時(shí)間過(guò)的好快,轉(zhuǎn)眼間,大學(xué)生活結(jié)束了。通過(guò)大學(xué)課程的學(xué)習(xí),不僅讓我們拓寬了知識(shí)面,而且鍛煉了能力,綜合素質(zhì)得到較大提高。設(shè)計(jì),給人以創(chuàng)作的沖動(dòng)。但凡涉及設(shè)計(jì)都是一件良好的事情,因?yàn)樗芙o人以美的幻想,因?yàn)樗芙o人以金子般的財(cái)富,因?yàn)樗芙o人以成就之感,更為現(xiàn)實(shí)的是她能給人以成長(zhǎng)以及成長(zhǎng)所需的營(yíng)養(yǎng),而這種營(yíng)養(yǎng)更是一種福祉,一輩子消受不竭享用不盡。安排課程設(shè)計(jì)的基本目的,在于通過(guò)理論與實(shí)際的結(jié)合、人與人的溝通,進(jìn)一步提高思想覺(jué)悟。尤其是觀察、分析和解決問(wèn)題的實(shí)際工作能力,以便培養(yǎng)成為能夠主動(dòng)適應(yīng)社會(huì)主義現(xiàn)代化建設(shè)需要的高素質(zhì)的復(fù)合型人才。課程設(shè)計(jì)達(dá)到了專業(yè)學(xué)習(xí)的預(yù)期目的。通過(guò)這次畢業(yè)論文的寫(xiě)作,我們普遍感到不僅實(shí)際動(dòng)手能力有所提高,更重要的是通過(guò)對(duì)設(shè)計(jì)過(guò)程的了解,進(jìn)一步激發(fā)了我們對(duì)專業(yè)知識(shí)的興趣,并能夠結(jié)合實(shí)際存在的問(wèn)題在專業(yè)領(lǐng)域內(nèi)進(jìn)行更深入的學(xué)習(xí)。這次畢業(yè)論文終于完成了,在設(shè)計(jì)中遇到了很多問(wèn)題,最后在老師的辛勤指導(dǎo)下,終于迎刃而解。在這個(gè)過(guò)程中我們學(xué)到了很多東西。同時(shí),對(duì)給過(guò)我?guī)椭乃型瑢W(xué)和各位指導(dǎo)老師再次表示忠心的感謝!首先我們要感謝學(xué)院給與我們機(jī)會(huì)學(xué)習(xí),再次感謝我們的指導(dǎo)老師,在我們完成設(shè)計(jì)的過(guò)程中,指導(dǎo)老師給予了我們很大的幫助。在課程設(shè)計(jì)開(kāi)始的初期,我們對(duì)于論文的結(jié)構(gòu)以及文獻(xiàn)選取等方面都有很多問(wèn)題,整體構(gòu)思不是很明確,段落層次也不是很清晰,老師詳細(xì)給我們分析論文的寫(xiě)作過(guò)程,從論文的題目,論文的內(nèi)容,論文的脈絡(luò),都給與我們?cè)敿?xì)的指導(dǎo)。在我們課程設(shè)計(jì)的進(jìn)展過(guò)程中,老師也及時(shí)給我們解決疑惑,并且監(jiān)督我們論文的進(jìn)展過(guò)程,非常感謝!但是慚愧的是,論文也時(shí)有偏差出現(xiàn),經(jīng)過(guò)了曲折的過(guò)程,老師也耐心的給我激勵(lì),非常感謝! 在論文即將完成之際,我的心情無(wú)法平靜,從開(kāi)始進(jìn)入課題到論文的順利完成,有多少可敬的師長(zhǎng)、同學(xué)、朋友給了我無(wú)言的幫助,在這里請(qǐng)接受我誠(chéng)摯的謝意!最后,對(duì)這次參加答辯的老師表示最誠(chéng)摯的敬意。參考文獻(xiàn)1 謝自美 電子線路設(shè)計(jì)實(shí)驗(yàn)測(cè)試華中科技大學(xué)出版社2 路而紅 虛擬電子實(shí)驗(yàn)室EWB人民郵電出版社3 祁存榮 電子技術(shù)基礎(chǔ)實(shí)驗(yàn)武漢理工大學(xué)教材中心4 康華光 電子技術(shù)基礎(chǔ)數(shù)字部分5 鄭繼禹 同步理論與技術(shù)電子工業(yè)出版社6 方建邦 通信電子電路基礎(chǔ)人民郵電出版社 7 陳安凱最新集成電路數(shù)據(jù)手冊(cè)人民郵電出8 王港元電子電工實(shí)踐指導(dǎo)人民郵電出版9 朱定華主編.電子電路測(cè)試與實(shí)驗(yàn).北京:清華大學(xué)出版社,2004. 110 顧永杰.電工電子技術(shù)實(shí)訓(xùn)教程.上海:上海交通大學(xué)出版社,199911陳小虎.電工實(shí)習(xí)(I).北京:中國(guó)電力出版社,1996 12高吉祥.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì).電子工業(yè)出版社,200213呂思忠.數(shù)子電實(shí)驗(yàn)與課程設(shè).哈爾濱工業(yè)大學(xué)出版社,2001 14謝自美.電子線路設(shè)計(jì)、實(shí)驗(yàn)、測(cè)試.華中理工大學(xué)出版社,2000 7

注意事項(xiàng)

本文(多功能數(shù)字鬧鐘的設(shè)計(jì))為本站會(huì)員(都**)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!