各公司面試試題模電數(shù)電面試問題

上傳人:仙*** 文檔編號:29758380 上傳時間:2021-10-08 格式:DOC 頁數(shù):80 大?。?66.50KB
收藏 版權(quán)申訴 舉報(bào) 下載
各公司面試試題模電數(shù)電面試問題_第1頁
第1頁 / 共80頁
各公司面試試題模電數(shù)電面試問題_第2頁
第2頁 / 共80頁
各公司面試試題模電數(shù)電面試問題_第3頁
第3頁 / 共80頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《各公司面試試題模電數(shù)電面試問題》由會員分享,可在線閱讀,更多相關(guān)《各公司面試試題模電數(shù)電面試問題(80頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。

1、模擬電路 1、基爾霍夫定理的內(nèi)容是什么?(仕蘭微電子) 2、平板電容公式(C=S/4kd)。(未知) 3、最基本的如三極管曲線特性。(未知) 4、描述反饋電路的概念,列舉他們的應(yīng)用。(仕蘭微電子) 5、負(fù)反饋種類(電壓并聯(lián)反饋,電流串聯(lián)反饋,電壓串聯(lián)反饋和電流并聯(lián)反饋);負(fù)反 饋的優(yōu)點(diǎn)(降低放大器的增益靈敏度,改變輸入電阻和輸出電阻,改善放大器的線性和非 線性失真,有效地?cái)U(kuò)展放大器的通頻帶,自動調(diào)節(jié)作用)(未知) 6、放大電路的頻率補(bǔ)償?shù)哪康氖鞘裁?,有哪些方法?(仕蘭微電子) 7、頻率響應(yīng),如:怎么才算是穩(wěn)定的,如何改變頻響曲線的幾個方法。(未知) 8、給出一個查分運(yùn)放,如何相位補(bǔ)償,并畫補(bǔ)償

2、后的波特圖。(凹凸) 9、基本放大電路種類(電壓放大器,電流放大器,互導(dǎo)放大器和互阻放大器),優(yōu)缺 點(diǎn),特別是廣泛采用差分結(jié)構(gòu)的原因。(未知) 10、給出一差分電路,告訴其輸出電壓Y+和Y-,求共模分量和差模分量。(未知) 11、畫差放的兩個輸入管。(凹凸) 12、畫出由運(yùn)放構(gòu)成加法、減法、微分、積分運(yùn)算的電路原理圖。并畫出一個晶體管級的 運(yùn)放電路。(仕蘭微電子) 13、用運(yùn)算放大器組成一個10倍的放大器。(未知) 14、給出一個簡單電路,讓你分析輸出電壓的特性(就是個積分電路),并求輸出端某點(diǎn) 的rise/fall時間。(Infineon筆試試題) 15、電阻R和電容C串聯(lián),輸入電壓為R和C

3、之間的電壓,輸出電壓分別為C上電壓和R上電 壓,要求繪制這兩種電路輸入電壓的頻譜,判斷這兩種電路何為高通濾波器,何為低通濾 波器。當(dāng)RCT時,給出輸入電壓波形圖,繪制兩種電路的輸出波形圖。(未知) 16、有源濾波器和無源濾波器的原理及區(qū)別?(新太硬件) 17、有一時域信號S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),當(dāng)其通過低通、 帶通、高通濾波器后的信號表示方式。(未知) 18、選擇電阻時要考慮什么?(東信筆試題) 19、在CMOS電路中,要有一個單管作為開關(guān)管精確傳遞模擬低電平,這個單管你會用P管 還是N管,為什么?(仕蘭微電子) 20、給出

4、多個mos管組成的電路求5個點(diǎn)的電壓。(Infineon筆試試題) 21、電壓源、電流源是集成電路中經(jīng)常用到的模塊,請畫出你知道的線路結(jié)構(gòu),簡單描述 其優(yōu)缺點(diǎn)。(仕蘭微電子) 22、畫電流偏置的產(chǎn)生電路,并解釋。(凹凸) 23、史密斯特電路,求回差電壓。(華為面試題) 24、晶體振蕩器,好像是給出振蕩頻率讓你求周期(應(yīng)該是單片機(jī)的,12分之一周期.) (華為面試題) 25、LC正弦波振蕩器有哪幾種三點(diǎn)式振蕩電路,分別畫出其原理圖。(仕蘭微電子) 26、VCO是什么,什么參數(shù)(壓控振蕩器?)(華為面試題) 27、鎖相環(huán)有哪幾部分組成?(仕蘭微電子) 28、鎖相環(huán)電路組成,振蕩器(比如用D觸發(fā)器如

5、何搭)。(未知) 29、求鎖相環(huán)的輸出頻率,給了一個鎖相環(huán)的結(jié)構(gòu)圖。(未知) 30、如果公司做高頻電子的,可能還要RF知識,調(diào)頻,鑒頻鑒相之類,不一一列舉。(未 知) 31、一電源和一段傳輸線相連(長度為L,傳輸時間為T),畫出終端處波形,考慮傳輸線 無損耗。給出電源電壓波形圖,要求繪制終端波形圖。(未知) 32、微波電路的匹配電阻。(未知) 33、DAC和ADC的實(shí)現(xiàn)各有哪些方法?(仕蘭微電子) 34、A/D電路組成、工作原理。(未知) 35、實(shí)際工作所需要的一些技術(shù)知識(面試容易問到)。如電路的低功耗,穩(wěn)定,高速如何 做到,調(diào)運(yùn)放,布版圖注意的地方等等,一般會針對簡歷上你所寫做過的東西具體

6、問,肯 定會問得很細(xì)(所以別把什么都寫上,精通之類的詞也別用太多了),這個東西各個人就 不一樣了,不好說什么了。(未知) _ 數(shù)字電路 1、同步電路和異步電路的區(qū)別是什么?(仕蘭微電子) 2、什么是同步邏輯和異步邏輯?(漢王筆試) 同步邏輯是時鐘之間有固定的因果關(guān)系。異步邏輯是各時鐘之間沒有固定的因果關(guān)系。 3、什么是線與邏輯,要實(shí)現(xiàn)它,在硬件特性上有什么具體要求?(漢王筆試) 線與邏輯是兩個輸出信號相連可以實(shí)現(xiàn)與的功能。在硬件上,要用oc門來實(shí)現(xiàn),由于不用 oc門可能使灌電流過大,而燒壞邏輯門。同時在輸出端口應(yīng)加一個上拉電阻。 4、什么是Setup和Holdup時間?(漢王筆試) 5、set

7、up和holdup時間,區(qū)別.(南山之橋) 6、解釋setuptime和holdtime的定義和在時鐘信號延遲時的變化。(未知) 7、解釋setup和holdtimeviolation,畫圖說明,并說明解決辦法。(威盛VIA 2003.11.06上海筆試試題) Setup/holdtime是測試芯片對輸入信號和時鐘信號之間的時間要求。建立時間是指觸發(fā) 器的時鐘信號上升沿到來以前,數(shù)據(jù)穩(wěn)定不變的時間。輸入信號應(yīng)提前時鐘上升沿(如上 升沿有效)T時間到達(dá)芯片,這個T就是建立時間-Setuptime.如不滿足setuptime,這個 數(shù)據(jù)就不能被這一時鐘打入觸發(fā)器,只有在下一個時鐘上升沿,數(shù)據(jù)才能被

8、打入觸發(fā)器。 保持時間是指觸發(fā)器的時鐘信號上升沿到來以后,數(shù)據(jù)穩(wěn)定不變的時間。如果holdtime 不夠,數(shù)據(jù)同樣不能被打入觸發(fā)器。 建立時間(SetupTime)和保持時間(Holdtime)。建立時間是指在時鐘邊沿前,數(shù)據(jù)信 號需要保持不變的時間。保持時間是指時鐘跳變邊沿后數(shù)據(jù)信號需要保持不變的時間。如 果不滿足建立和保持時間的話,那么DFF將不能正確地采樣到數(shù)據(jù),將會出現(xiàn) metastability的情況。如果數(shù)據(jù)信號在時鐘沿觸發(fā)前后持續(xù)的時間均超過建立和保持時 間,那么超過量就分別被稱為建立時間裕量和保持時間裕量。 8、說說對數(shù)字邏輯中的競爭和冒險(xiǎn)的理解,并舉例說明競爭和冒險(xiǎn)怎樣消除。

9、(仕蘭微 電子) 9、什么是競爭與冒險(xiǎn)現(xiàn)象?怎樣判斷?如何消除?(漢王筆試) 在組合邏輯中,由于門的輸入信號通路中經(jīng)過了不同的延時,導(dǎo)致到達(dá)該門的時間不一致 叫競爭。產(chǎn)生毛刺叫冒險(xiǎn)。如果布爾式中有相反的信號則可能產(chǎn)生競爭和冒險(xiǎn)現(xiàn)象。解決 方法:一是添加布爾式的消去項(xiàng),二是在芯片外部加電容。 10、你知道那些常用邏輯電平?TTL與COMS電平可以直接互連嗎?(漢王筆試) 常用邏輯電平:12V,5V,3.3V;TTL和CMOS不可以直接互連,由于TTL是在0.3-3.6V之 間,而CMOS則是有在12V的有在5V的。CMOS輸出接到TTL是可以直接互連。TTL接到CMOS需 要在輸出端口加一上拉電

10、阻接到5V或者12V。 11、如何解決亞穩(wěn)態(tài)。(飛利浦大唐筆試) 亞穩(wěn)態(tài)是指觸發(fā)器無法在某個規(guī)定時間段內(nèi)達(dá)到一個可確認(rèn)的狀態(tài)。當(dāng)一個觸發(fā)器進(jìn)入亞 穩(wěn)態(tài)時,既無法預(yù)測該單元的輸出電平,也無法預(yù)測何時輸出才能穩(wěn)定在某個正確的電平 上。在這個穩(wěn)定期間,觸發(fā)器輸出一些中間級電平,或者可能處于振蕩狀態(tài),并且這種無 用的輸出電平可以沿信號通道上的各個觸發(fā)器級聯(lián)式傳播下去。 12、IC設(shè)計(jì)中同步復(fù)位與異步復(fù)位的區(qū)別。(南山之橋) 13、MOORE與MEELEY狀態(tài)機(jī)的特征。(南山之橋) 14、多時域設(shè)計(jì)中,如何處理信號跨時域。(南山之橋) 15、給了reg的setup,hold時間,求中間組合邏輯的dela

11、y范圍。(飛利浦大唐筆試) Delayq,還有clock的delay,寫出決 定最大時鐘的因素,同時給出表達(dá)式。(威盛VIA2003.11.06上海筆試試題) 18、說說靜態(tài)、動態(tài)時序模擬的優(yōu)缺點(diǎn)。(威盛VIA2003.11.06上海筆試試題) 19、一個四級的Mux,其中第二級信號為關(guān)鍵信號如何改善timing。(威盛VIA 2003.11.06上海筆試試題) 20、給出一個門級的圖,又給了各個門的傳輸延時,問關(guān)鍵路徑是什么,還問給出輸入, 使得輸出依賴于關(guān)鍵路徑。(未知) 21、邏輯方面數(shù)字電路的卡諾圖化簡,時序(同步異步差異),觸發(fā)器有幾種(區(qū)別,優(yōu) 點(diǎn)),全加器等等。(未知) 22、卡

12、諾圖寫出邏輯表達(dá)使。(威盛VIA2003.11.06上海筆試試題) 23、化簡F(A,B,C,D)=m(1,3,4,5,10,11,12,13,14,15)的和。(威盛) 24、pleaseshowtheCMOSinverterschmatic,layoutanditscrosssectionwithP- wellprocess.Plotitstransfercurve(Vout-Vin)Andalsoexplainthe operationregionofPMOSandNMOSforeachsegmentofthetransfercurve?(威 盛筆試題circuitdesign-beij

13、ing-03.11.09) 25、TodesignaCMOSinvertorwithbalanceriseandfalltime,pleasedefine therationofchannelwidthofPMOSandNMOSandexplain? 26、為什么一個標(biāo)準(zhǔn)的倒相器中P管的寬長比要比N管的寬長比大?(仕蘭微電子) 27、用mos管搭出一個二輸入與非門。(揚(yáng)智電子筆試) 28、pleasedrawthetransistorlevelschematicofacmos2inputANDgateand explainwhichinputhasfasterresponseforoutput

14、risingedge.(lessdelay time)。(威盛筆試題circuitdesign-beijing-03.11.09) 29、畫出NOT,NAND,NOR的符號,真值表,還有transistorlevel的電路。(Infineon筆 試) 30、畫出CMOS的圖,畫出tow-to-onemuxgate。(威盛VIA2003.11.06上海筆試試題) 31、用一個二選一mux和一個inv實(shí)現(xiàn)異或。(飛利浦大唐筆試) 32、畫出Y=A*B+C的cmos電路圖。(科廣試題) 33、用邏輯們和cmos電路實(shí)現(xiàn)ab+cd。(飛利浦大唐筆試) 34、畫出CMOS電路的晶體管級電路圖,實(shí)現(xiàn)Y=A

15、*B+C(D+E)。(仕蘭微電子) 35、利用4選1實(shí)現(xiàn)F(x,y,z)=xz+yz。(未知) 36、給一個表達(dá)式f=xxxx+xxxx+xxxxx+xxxx用最少數(shù)量的與非門實(shí)現(xiàn)(實(shí)際上就是化 簡)。 37、給出一個簡單的由多個NOT,NAND,NOR組成的原理圖,根據(jù)輸入波形畫出各點(diǎn)波形。 (Infineon筆試) 38、為了實(shí)現(xiàn)邏輯(AXORB)OR(CANDD),請選用以下邏輯中的一種,并說明為什 么?1)INV2)AND3)OR4)NAND5)NOR6)XOR答案:NAND(未知) 39、用與非門等設(shè)計(jì)全加法器。(華為) 40、給出兩個門電路讓你分析異同。(華為) 41、用簡單電路實(shí)

16、現(xiàn),當(dāng)A為輸入時,輸出B波形為(仕蘭微電子) 42、A,B,C,D,E進(jìn)行投票,多數(shù)服從少數(shù),輸出是F(也就是如果A,B,C,D,E中1的個數(shù)比0 多,那么F輸出為1,否則F為0),用與非門實(shí)現(xiàn),輸入數(shù)目沒有限制。(未知) 43、用波形表示D觸發(fā)器的功能。(揚(yáng)智電子筆試) 44、用傳輸門和倒向器搭一個邊沿觸發(fā)器。(揚(yáng)智電子筆試) 45、用邏輯們畫出D觸發(fā)器。(威盛VIA2003.11.06上海筆試試題) 46、畫出DFF的結(jié)構(gòu)圖,用verilog實(shí)現(xiàn)之。(威盛) 47、畫出一種CMOS的D鎖存器的電路圖和版圖。(未知) 48、D觸發(fā)器和D鎖存器的區(qū)別。(新太硬件面試) 49、簡述latch和f

17、ilp-flop的異同。(未知) 50、LATCH和DFF的概念和區(qū)別。(未知) 51、latch與register的區(qū)別,為什么現(xiàn)在多用register.行為級描述中l(wèi)atch如何產(chǎn)生的。 (南山之橋) 52、用D觸發(fā)器做個二分顰的電路.又問什么是狀態(tài)圖。(華為) 53、請畫出用D觸發(fā)器實(shí)現(xiàn)2倍分頻的邏輯電路?(漢王筆試) 54、怎樣用D觸發(fā)器、與或非門組成二分頻電路?(東信筆試) 55、Howmanyflip-flopcircuitsareneededtodivideby16?(Intel)16分頻? 56、用filp-flop和logic-gate設(shè)計(jì)一個1位加法器,輸入carryin和

18、current-stage,輸出 carryout和next-stage.(未知) 57、用D觸發(fā)器做個4進(jìn)制的計(jì)數(shù)。(華為) 58、實(shí)現(xiàn)N位JohnsonCounter,N=5。(南山之橋) 59、用你熟悉的設(shè)計(jì)方式設(shè)計(jì)一個可預(yù)置初值的7進(jìn)制循環(huán)計(jì)數(shù)器,15進(jìn)制的呢?(仕蘭 微電子) 60、數(shù)字電路設(shè)計(jì)當(dāng)然必問Verilog/VHDL,如設(shè)計(jì)計(jì)數(shù)器。(未知) 61、BLOCKINGNONBLOCKING賦值的區(qū)別。(南山之橋) 62、寫異步D觸發(fā)器的verilogmodule。(揚(yáng)智電子筆試) moduledff8(clk,reset,d,q); inputclk; inputreset;

19、input7:0d; output7:0q; reg7:0q; always(posedgeclkorposedgereset) if(reset) q=0; else q=d; endmodule 63、用D觸發(fā)器實(shí)現(xiàn)2倍分頻的Verilog描述?(漢王筆試) moduledivide2(clk,clk_o,reset); inputclk,reset; outputclk_o; wirein; regout; always(posedgeclkorposedgereset) if(reset) out=0; else out=in; assignin=out; assignclk_o=ou

20、t; endmodule 64、可編程邏輯器件在現(xiàn)代電子設(shè)計(jì)中越來越重要,請問:a)你所知道的可編程邏輯器 件有哪些?b)試用VHDL或VERILOG、ABLE描述8位D觸發(fā)器邏輯。(漢王筆試) PAL,PLD,CPLD,F(xiàn)PGA。 moduledff8(clk,reset,d,q); inputclk; inputreset; inputd; outputq; regq; always(posedgeclkorposedgereset) if(reset) q=0; else q方波-鋸齒波-方波,設(shè)計(jì)電路2.74161計(jì)數(shù)器組成計(jì)數(shù)電路,分析幾進(jìn)制的3.用D觸發(fā)器構(gòu)成2分頻電路 有關(guān)于1.

21、TIC6000 DSP2.二極管3.RISC4.IIR 16、時鐘周期為T,觸發(fā)器D1的寄存器到輸出時間最大為T1max,最小為T1min。組合邏輯電路最大延遲為T2max,最小為T2min。問,觸發(fā)器D2的建立時間T3和保持時間應(yīng)滿足什么條件。(華 為) T3setupT+T2max,T3holdT1min+T2min 數(shù)字電路 1、同步電路和異步電路的區(qū)別是什么?(仕蘭微電子) 2、什么是同步邏輯和異步邏輯?(漢王筆試) 同步邏輯是時鐘之間有固定的因果關(guān)系。異步邏輯是各時鐘之間沒有固定的因果關(guān)系。 電路設(shè)計(jì)可分類為同步電路和非同步電路設(shè)計(jì)。同步電路利用時鐘脈衝使其子系統(tǒng)同步運(yùn)作,而非同步電

22、路不使用時鐘脈衝做同步,其子系統(tǒng)是使用特殊的“開始”和“完成”信號使之同步。由於非同步電路具有下列優(yōu)點(diǎn)-無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-因此近年來對非同步電路研究增加快速,論文發(fā)表數(shù)以倍增,而Intel Pentium 4處理器設(shè)計(jì),也開始採用非同步電路設(shè)計(jì)。 異步電路主要是組合邏輯電路,用于產(chǎn)生地址譯碼器、或的讀寫控制信號脈沖,其邏輯輸出與任何時鐘信號都沒有關(guān)系,譯碼輸出產(chǎn)生的毛刺通常是可以監(jiān)控的。同步電路是由時序電路(寄存器和各種觸發(fā)器)和組合邏輯電路構(gòu)成的電路,其所有操作都是在嚴(yán)格的時鐘控制下完成的。這些時序電路共享同一個時鐘,而所有的狀態(tài)變化

23、都是在時鐘的上升沿(或下降沿)完成的。 3、什么是線與邏輯,要實(shí)現(xiàn)它,在硬件特性上有什么具體要求?(漢王筆試) 線與邏輯是兩個輸出信號相連可以實(shí)現(xiàn)與的功能。在硬件上,要用oc門來實(shí)現(xiàn)(漏極或者集電極開路),由于不用oc門可能使灌電流過大,而燒壞邏輯門,同時在輸出端口應(yīng)加一個上拉電阻。(線或則是下拉電阻) 4、什么是Setup 和Holdup時間?(漢王筆試) 5、setup和holdup時間,區(qū)別.(南山之橋) 6、解釋setup time和hold time的定義和在時鐘信號延遲時的變化。(未知) 7、解釋setup和hold time violation,畫圖說明,并說明解決辦法。(威盛V

24、IA 2003.11.06 上海筆試試題) Setup/hold time 是測試芯片對輸入信號和時鐘信號之間的時間要求。建立時間是指觸發(fā)器的時鐘信號上升沿到來以前,數(shù)據(jù)穩(wěn)定不變的時間。輸入信號應(yīng)提前時鐘上升沿(如上升沿有效)T時間到達(dá)芯片,這個T就是建立時間-Setup time.如不滿足setup time,這個數(shù)據(jù)就不能被這一時鐘打入觸發(fā)器,只有在下一個時鐘上升沿,數(shù)據(jù)才能被打入觸發(fā)器。保持時間是指觸發(fā)器的時鐘信號上升沿到來以后,數(shù)據(jù)穩(wěn)定不變的時間。如果hold time不夠,數(shù)據(jù)同樣不能被打入觸發(fā)器。 建立時間(Setup Time)和保持時間(Hold time)。建立時間是指在時鐘

25、邊沿前,數(shù)據(jù)信號需要保持不變的時間。保持時間是指時鐘跳變邊沿后數(shù)據(jù)信號需要保持不變的時間。如果不滿足建立和保持時間的話,那么DFF將不能正確地采樣到數(shù)據(jù),將會出現(xiàn) stability的情況。如果數(shù)據(jù)信號在時鐘沿觸發(fā)前后持續(xù)的時間均超過建立和保持時間,那么超過量就分別被稱為建立時間裕量和保持時間裕量。 8、說說對數(shù)字邏輯中的競爭和冒險(xiǎn)的理解,并舉例說明競爭和冒險(xiǎn)怎樣消除。(仕蘭微 電子) 9、什么是競爭與冒險(xiǎn)現(xiàn)象?怎樣判斷?如何消除?(漢王筆試) 在組合邏輯中,由于門的輸入信號通路中經(jīng)過了不同的延時,導(dǎo)致到達(dá)該門的時間不一致叫競爭。產(chǎn)生毛刺叫冒險(xiǎn)。如果布爾式中有相反的信號則可能產(chǎn)生競爭和冒險(xiǎn)現(xiàn)象

26、。解決方法:一是添加布爾式的消去項(xiàng),二是在芯片外部加電容。 10、你知道那些常用邏輯電平?TTL與COMS電平可以直接互連嗎?(漢王筆試) 常用邏輯電平:12V,5V,3.3V;TTL和CMOS不可以直接互連,由于TTL是在0.3-3.6V之 間,而CMOS則是有在12V的有在5V的。CMOS輸出接到TTL是可以直接互連。TTL接到CMOS需 要在輸出端口加一上拉電阻接到5V或者12V。 cmos的高低電平分別為:Vih=0.7VDD,Vil=0.9VDD,Vol=2.0v,Vil=2.4v,Vol=0.4v. 用cmos可直接驅(qū)動ttl;加上拉電阻后,ttl可驅(qū)動cmos. 11、如何解決亞

27、穩(wěn)態(tài)。(飛利浦大唐筆試) 亞穩(wěn)態(tài)是指觸發(fā)器無法在某個規(guī)定時間段內(nèi)達(dá)到一個可確認(rèn)的狀態(tài)。當(dāng)一個觸發(fā)器進(jìn)入亞穩(wěn)態(tài)時,既無法預(yù)測該單元的輸出電平,也無法預(yù)測何時輸出才能穩(wěn)定在某個正確的電平上。在這個穩(wěn)定期間,觸發(fā)器輸出一些中間級電平,或者可能處于振蕩狀態(tài),并且這種無用的輸出電平可以沿信號通道上的各個觸發(fā)器級聯(lián)式傳播下去。 解決方法: 1 降低系統(tǒng)時鐘頻率 2 用反應(yīng)更快的FF 3 引入同步機(jī)制,防止亞穩(wěn)態(tài)傳播 4 改善時鐘質(zhì)量,用邊沿變化快速的時鐘信號 關(guān)鍵是器件使用比較好的工藝和時鐘周期的裕量要大。 12、IC設(shè)計(jì)中同步復(fù)位與異步復(fù)位的區(qū)別。(南山之橋) 同步復(fù)位在時鐘沿采復(fù)位信號,完成復(fù)位動作。異步復(fù)位不管時鐘,只要復(fù)位信號滿足條件,就完成復(fù)位動作。異步復(fù)位對復(fù)位信號要求比較高,不能有毛刺,如果其與時鐘關(guān)系不確定,也可能出現(xiàn)亞穩(wěn)態(tài)。 13、MOORE 與 MEELEY狀態(tài)機(jī)的特征。(南山之橋) Moore 狀態(tài)機(jī)的輸出僅與當(dāng)前狀態(tài)值有關(guān), 且只在時鐘邊沿到來時才會有狀態(tài)變化. Mealy 狀態(tài)機(jī)的輸出不僅與當(dāng)前狀態(tài)值有關(guān), 而且與當(dāng)前輸入值有關(guān), 這 14、多時域設(shè)計(jì)中,如何處理信號跨時域。(南山之橋) 不同的時鐘域之間信號通信時需要進(jìn)行同步處理,這樣可以防止新時鐘域

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!