計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英

上傳人:沈*** 文檔編號(hào):102323139 上傳時(shí)間:2022-06-06 格式:DOC 頁(yè)數(shù):51 大小:2.02MB
收藏 版權(quán)申訴 舉報(bào) 下載
計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英_第1頁(yè)
第1頁(yè) / 共51頁(yè)
計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英_第2頁(yè)
第2頁(yè) / 共51頁(yè)
計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英_第3頁(yè)
第3頁(yè) / 共51頁(yè)

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英》由會(huì)員分享,可在線閱讀,更多相關(guān)《計(jì)算機(jī)組成原理課后習(xí)題答案第五版白中英(51頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、計(jì)算機(jī)紐成慮理弟五版習(xí)罐彩素計(jì)算機(jī)組成原理第五版習(xí)題答案第一章1第二章3第三章14第四章19第五章21第六章27第七章A.31第八章34第九章、.36計(jì)算機(jī)紐成慮理弟五版習(xí)罐總素第一章1. 模擬計(jì)算機(jī)的特點(diǎn)是數(shù)值由連續(xù)量來(lái)表示,運(yùn)算過(guò)程也是連續(xù)的。數(shù)字計(jì)算機(jī)的主要特 點(diǎn)是按位運(yùn)算,并J1不連續(xù)地跳動(dòng)計(jì)算。模擬計(jì)算機(jī)用電壓表示數(shù)據(jù),采用電壓組合和 測(cè)量值的計(jì)算方式,盤(pán)上連線的控制方式,而數(shù)字計(jì)算機(jī)用數(shù)字0和1表示數(shù)據(jù),采用 數(shù)字計(jì)數(shù)的計(jì)算方式,程序控制的控制方式。數(shù)字計(jì)算機(jī)與模擬計(jì)算機(jī)相比,稱度高, 數(shù)據(jù)存儲(chǔ)崑大,邏輯判斷能力強(qiáng)。2. 數(shù)字計(jì)算機(jī)可分為專用計(jì)算機(jī)和通用計(jì)算機(jī),是根據(jù)計(jì)算機(jī)的效率、

2、速度、價(jià)格、運(yùn)行 的經(jīng)濟(jì)性和適應(yīng)性來(lái)劃分的。3. 科學(xué)計(jì)算、自動(dòng)控制、測(cè)量和測(cè)試、信息處理、教育和衛(wèi)生、家用電器、人工智能。4. 主要設(shè)計(jì)思想是:采用存儲(chǔ)程序的方式,編制好的程序和數(shù)據(jù)存放在同一存儲(chǔ)器中,計(jì)算機(jī)町以在無(wú)人干預(yù)的情況卜自動(dòng)完成逐條取出韋令和執(zhí)行指令的任務(wù);在機(jī)器內(nèi)部, 指令和數(shù)據(jù)均以二進(jìn)制碼表示,指令在存儲(chǔ)器中按執(zhí)行順序存放。主要組成部分有:運(yùn) 算器、邏輯器、存儲(chǔ)器、輸入設(shè)備和輸出設(shè)備。/ yr5. 存儲(chǔ)器所有存儲(chǔ)單元的總數(shù)稱為存儲(chǔ)器的存儲(chǔ)容最。每個(gè)存儲(chǔ)單元都有編號(hào),稱為單元 地址。如果某字代表要處理的數(shù)據(jù),稱為數(shù)據(jù)字。如果某字為一條指令,稱為指令字。6. 計(jì)算機(jī)硬件可直接執(zhí)行的

3、每一個(gè)基本的算術(shù)込篦或邏輯運(yùn)算操作稱為一條指令,而解算某一問(wèn)題的一串指令序列,稱為程序。鳥(niǎo)7. 取指周期中從內(nèi)存讀出的信息流是指令流,而在執(zhí)行器周期中從內(nèi)存讀出的信息流是數(shù)據(jù)流。x8. 半導(dǎo)體存儲(chǔ)器稱為內(nèi)存,存儲(chǔ)容量更人的磁盤(pán)存儲(chǔ)器和光盤(pán)存儲(chǔ)器稱為外存,內(nèi)存和外 共同用來(lái)保存二進(jìn)制數(shù)據(jù)。運(yùn)算器和控制器合在一起稱為中央處理器,簡(jiǎn)稱CPU,它 用來(lái)控制計(jì)算機(jī)及進(jìn)行算術(shù)邏輯運(yùn)算。適配器是外用設(shè)備與主機(jī)聯(lián)系的橋梁,它的作用 相當(dāng)于一個(gè)轉(zhuǎn)換器,使主機(jī)和外圉設(shè)備井行協(xié)調(diào)地工作。9. 計(jì)算機(jī)的系統(tǒng)軟件包括系綣程序和應(yīng)用程序。系統(tǒng)程序用來(lái)簡(jiǎn)化程序設(shè)計(jì),簡(jiǎn)化使用方 法,提高計(jì)算機(jī)的使用效率,發(fā)揮和擴(kuò)人計(jì)算機(jī)的功

4、能用用途;應(yīng)用程序是用戶利用計(jì) 算機(jī)來(lái)解決某些問(wèn)題而編制的程序。10. 在早期的計(jì)算機(jī)中,人們是直接用機(jī)器語(yǔ)言來(lái)編寫(xiě)程序的,這種程序稱為手編程序 或目的程序;后來(lái),為了編寫(xiě)程序方便和提高使用效率,人們使用匯編語(yǔ)言來(lái)編寫(xiě)程序, 稱為匯編程序:為了進(jìn)一步實(shí)現(xiàn)程序自動(dòng)化和便于程序交流,使不熟悉貝體計(jì)算機(jī)的人 也能很方便地使用計(jì)算機(jī),人們又創(chuàng)造了算法語(yǔ)言,用算法語(yǔ)言編寫(xiě)的程序稱為源程序, 源程序通過(guò)編譯系統(tǒng)產(chǎn)生編譯程序,也可通過(guò)解釋系統(tǒng)進(jìn)行解釋執(zhí)行;隨著計(jì)算機(jī)技術(shù) 的日益發(fā)展,人們又創(chuàng)造出操作系統(tǒng);隨著計(jì)算機(jī)在信息處理、情報(bào)檢索及各種管理系 統(tǒng)中應(yīng)用的發(fā)展,要求人量處理某些數(shù)據(jù),建立和檢索人量的表格,

5、于是產(chǎn)生了數(shù)據(jù)庫(kù) 管理系統(tǒng)。第一級(jí)是微程序設(shè)計(jì)級(jí),這是一個(gè)實(shí)在的碩件級(jí),它由機(jī)器碾件直接執(zhí)行微指令: 第二級(jí)是一般機(jī)器級(jí),也稱為機(jī)器語(yǔ)言級(jí),它由程序解釋機(jī)器指令系統(tǒng);第三級(jí)足操作 系統(tǒng)級(jí),它由操作系統(tǒng)實(shí)現(xiàn):第四級(jí)是匯編語(yǔ)言級(jí),它給程序人員提供一種符號(hào)形式語(yǔ) 言,以減少程序編寫(xiě)的復(fù)雜性;第五級(jí)是高級(jí)語(yǔ)言級(jí),它是面向用戶的,為方便用戶編 寫(xiě)應(yīng)用程序而設(shè)豐的。用一系列的級(jí)來(lái)組成計(jì)算機(jī)的接門(mén)對(duì)掌握計(jì)算機(jī)是如何組成的 提供了一種好的結(jié)構(gòu)和體制,而且用這種分級(jí)的觀點(diǎn)來(lái)設(shè)計(jì)計(jì)算機(jī)對(duì)保證產(chǎn)生一個(gè)良好 的系統(tǒng)結(jié)構(gòu)也足很有幫助的。2計(jì)算機(jī)如成原理笫五版習(xí)題怎余11. 內(nèi)為任何操作可以由軟件來(lái)實(shí)現(xiàn),也町以由硬件來(lái)實(shí)

6、現(xiàn);任何指令的執(zhí)行町以由鎖 件完成,也可以由軟件來(lái)完成。實(shí)現(xiàn)這種轉(zhuǎn)化的媒介是軟件與破件的邏輯等價(jià)性。12. 計(jì)算機(jī)應(yīng)用和應(yīng)用計(jì)算機(jī)在概念上是不等價(jià)的。計(jì)算機(jī)應(yīng)用是計(jì)算機(jī)學(xué)科與其他學(xué)科相結(jié)合的交叉學(xué)科,是計(jì)算機(jī)學(xué)科的組成部分,分 為數(shù)值計(jì)算和非數(shù)值應(yīng)用兩大領(lǐng)域。應(yīng)用計(jì)算機(jī)是借助計(jì)算機(jī)為實(shí)現(xiàn)特:定的信息系統(tǒng)功能的于段。在計(jì)算機(jī)系統(tǒng)的層次結(jié)構(gòu) 中,應(yīng)用計(jì)算機(jī)是賽級(jí)計(jì)算機(jī)系統(tǒng)層次結(jié)構(gòu)的報(bào)終目標(biāo),是高級(jí)語(yǔ)肓級(jí)之上的服務(wù)層次。計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐第二章1. (1) -35 = (-100011).一 3 習(xí)原= 10100011-35補(bǔ)=11011100一 3 習(xí)反= 11011101(2)127

7、原= 01111111127反= 01111111127補(bǔ)= 01111111(3) -127 = (-1111111).-127原= 11111111-127補(bǔ)= 10000001-127反= 10000000(4 -1 = (-00000001 )2一 1原= 10000001-1補(bǔ)= 11111111一 1反= 111111102. x補(bǔ)=3o a1a:-a6解法一、(1)若ao0,則x0,也滿足x-0.5 此時(shí)aiae可任意(2)若3o = 1,則x -0.5,需a】=1即 a0 = 1, ai = 1, a2-*a 有一個(gè)不為 0解法二、-0.5 =-0.1(2) = -0.1000

8、00 = 1, 100000(1)若x = 0,則a0 = 0, aLN任意即可4計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐x補(bǔ) =x = a0. aia:-a6(2)若xvo,則 x-0.5只需x 0x補(bǔ)=-X, 0.5補(bǔ)=01000000即-X補(bǔ) 01000000a0 * a * a2.a6 +1 01000000aQa2.a6 11000000即aoaltaz-ae不全為0或至少有一個(gè)為1 (但不是“其余取0”)3. 字長(zhǎng)32位浮點(diǎn)數(shù),階碼8位,用移碼表示,尾數(shù)23位,用補(bǔ)碼衷示.基為2EsElEsMsM21Mo(1)最人的數(shù)的二進(jìn)制表示E- 11111111/Ms = 0, M = 11 1 (全

9、1)1 11111111 01111111111111111111111最小的二進(jìn)制數(shù)/E- 11111111Ms= l,M = 000 (全 0)1 11111111 1000000000000000000000(3)規(guī)格化范圍/正最人 E 111, M 111, Ms - 08個(gè)22個(gè)q即:2八(1_2也)正最小E 000, M 1000. Ms - 08個(gè)21個(gè)即:2-:7x2_1負(fù)最大 E000, M - 011 1, Ms - 1Cl8個(gè)21個(gè)(最接近0的負(fù)數(shù))即:_2幾(27+2心)負(fù)最小 E = 111, M = 000. Ms -18個(gè)22個(gè)即:2:7_1x(-1)規(guī)格化所表示

10、的范圉用集介表示為:2_2?x2-1 , 22 1 x (1 - 2-) U 221 x (-1),-27x (2-1 + 222)計(jì)算機(jī)如成慮理弟五版習(xí)罐怎素4. 在IEEE754標(biāo)準(zhǔn)中,一個(gè)規(guī)格化的32位浮點(diǎn)數(shù)x的真值表示為:(-1VQf-127X= 7 x (l.M) xZ(1) 27/64=0.011011=1.1011 x 2*2E- -2+127 - 125- 0111 1101 S- 0M- 1011 0000 0000 0000 0000 000最后表示為:001111101 10110000000000000000000(2) -27/64=-0.011011=1.1011X

11、22E= -2+127 = 125= 0111 1101 S= 1M= 1011 0000 0000 0000 0000 000最后表示為:1 01111101 101100000000000000000005. (1)用變形補(bǔ)碼進(jìn)行計(jì)算:” yx補(bǔ)=00 11011 y補(bǔ)00 00011區(qū)補(bǔ)=00 11011Wfy補(bǔ)=+00 00011儀+丫補(bǔ)=00 11110結(jié)果沒(méi)有溢出,x+y=U110x補(bǔ)=00 11011 y補(bǔ)=11 01011兇補(bǔ)=oo noilv補(bǔ)=+H01011x+y補(bǔ)oo oono結(jié)果沒(méi)有溢出,x+y二00110 ZSW(3) x?b=ll 01010 y補(bǔ)111111x補(bǔ)=

12、00 01010Y補(bǔ)-x+y補(bǔ)11 01001結(jié)果沒(méi)有溢出,x+y=-101116. x刃補(bǔ)=x補(bǔ)+y補(bǔ)(1) x補(bǔ)=00 11011-y補(bǔ)=00 11111X補(bǔ)=0011011卜刃補(bǔ)=+0011111x-y補(bǔ)01 11010結(jié)果有正溢出,x-y=11010(2) x補(bǔ)=00 10111-y補(bǔ)=11 00101X補(bǔ)00 10111卜 y補(bǔ)=+1100101x-y補(bǔ)11 11100結(jié)果沒(méi)有溢出,x-y=-001006計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐1 1 01 1(3) x補(bǔ)=00 11011y補(bǔ)=00 10011X補(bǔ)=00 11011y補(bǔ)= +00 10011 x-y補(bǔ)01 01110結(jié)果有正溢出

13、,x-y=100107. (1)用原碼陣列乘法器:x原=0 11011 y原=4 11111因符號(hào)位單獨(dú)考慮,|x|-11011 |y|-lllll0 0 00 01 1 1 1 1#計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐1 1 01 10 0 00 01 1 1 1 1#計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐1 1 01 1110 11x) 111111111 101 1 01110 10110 1110 11I1100 0 00 01 1 1 1 1#計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐1 1 01 10 0 00 01 1 1 1 1#計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐1 1 01 1xxy原=1 1101000101用

14、補(bǔ)碼陣列乘法器:x補(bǔ)=0 11011 y補(bǔ)=1 00001 乘積符號(hào)位為:1 lx =11011 |y =11111X)110 11111111 1 0 1 0 0 0 1 0 1xXy補(bǔ)=1 0010111011(2)用原碼陣列乘法器:X原=1 11111 y原=1 11011因符號(hào)位單獨(dú)考虎,|x|-lllll |y|-U011xxy原=0 1101000101用補(bǔ)碼陣列乘法器:x補(bǔ)T 00001 y補(bǔ)T 00101乘積符號(hào)位為:1lx =11111 |y =11011X)111110 0 00 01 1 1 1 19計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐1111 1110 1 0 0 0xXy補(bǔ)二

15、0 11010001018. (1) x原=兇補(bǔ)=0 11000卜丨 y 門(mén)補(bǔ)=1 00001被除數(shù)X 0 11000余數(shù)為負(fù)1 11001 -q0=0 左移1 10010+|y|補(bǔ) 0 11111余數(shù)為正0 10001 -ql=l 左移1 00010+|y|補(bǔ) 1 ooooi余數(shù)為正0 00011 -q2=l左移0 00110+卜 |y|補(bǔ)1 ooooi余數(shù)為負(fù)1 00111-q3=0 左移0 01110+|y|補(bǔ) 0 11111 余數(shù)為負(fù)1 01101 Tq4=0 左移 0 11010 J+|y|補(bǔ) o him余數(shù)為負(fù)1 11001-q5=0+|y|補(bǔ) 0 11111(2)丨 x 門(mén)補(bǔ)=0

16、 01011+|y|補(bǔ) I 001H10計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐1111 1余數(shù)0 11000故x*y原二1.11000 即 x-ry= -0. 11000 余數(shù)為0 11000卜I y門(mén)補(bǔ)=1 00111被除數(shù)X 001011余數(shù)為負(fù)1 10010-q0=0(2)丨 x 門(mén)補(bǔ)=0 01011+|y|補(bǔ) I 001H11計(jì)算機(jī)紐成慮理弟五版習(xí)趙彩橐左移1 00100+|y|補(bǔ) 0 11001余數(shù)為負(fù)1 11101 -ql=0左移1 11010+|y|補(bǔ)0 11001余數(shù)為正0 10011 -q2=l 左移100110+|y|補(bǔ) 1 oom余數(shù)為止001101 -q3=l左移0 11010+

17、|y|補(bǔ) 1 00111余數(shù)為 lF 0 00001 -q4=l余數(shù)為0 00010左移0 000109. (1) x = 2 山0.100101, y = 2小*(-0011110)X浮 lllOhO.lOOlOl11101+00010=11111y.r = 11110,-0.011110Ex-Ey: x.f - 11110.0.010010(1)x+y00. 0 1 00 1 0(1)a+11.1 ooo i o1 1. 1 1 0 1 00(1)規(guī)格化處理:1.010010 階碼 11100x+y- 1.010010*2* - 2-O.lOllLOXV00. 0 1 00 1 0(1)+

18、 0 0. 0 1 1 1 1 000 1 1 0000(1) 規(guī)格化處理:0.110000 階碼 11110x-y=2*2*0.110001(2) x - 2-lol*(-O.OlOUO), y - 2UOO*0.010110x- 11011,-0.010110v.;= 11100,0.010110Ex-Ey= 11011+00100= 11111x.?= 111004.110101(0)x+y1 1. 1 1 0 1 0 1+ 00.0 1 0 1 1 00 0. 0 0 1 0 1 1規(guī)格化處理:0.101100 階碼 11010x+y- 0.101100*2”x-v1 1.1 1 0

19、1 0 1+ 1 11 0 1 0 1 01 1.0 1 1 1 1 1規(guī)格化處理:1.011111 階碼 11100x-v=-0.100001 *210. (1) Ex = 0011, Mx = 0.110100Ey = 0100. My = 0.100100Ez = Ex+Ey = 0111Mx*My0. 1 1 0 1專 0.100 10 110 1000000000001101 /00000001110101規(guī)格化: 26*0.111011(2) Ex = 1110, Mx = 0.011010Ey-0011, My-0.111100 Ez = Ex-Ev= 1110+1101 = 1

20、011JMx補(bǔ)=00.011010My補(bǔ)=00.11110aMy補(bǔ)=H.00010013計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎余OOOl 10 10+-My110001001J計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎余1101111010111100+Mv00111100111110000.01J計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎余11110000+My00111100001011000.011J計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎余01011000+-My11000100000111000.011+-My+My+-My0011100011000100111001100000 100 10.01101110010 1000 1 10 1

21、0001 1 0 00 1 0 000 1 0 1 10 00.011010.011011J計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎余1J計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎余商=0 110110*2Y余數(shù)=0.101100*211.BiThBitb)TAi1J計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎余4位加法器如上圖,G = Ad + ACt + QCt=A;Bt + (A + Bf )C,_1=Ad + (A d)Ci(1) 串行進(jìn)位方式P1=A!Bx (Ai+B】也對(duì))Pz A2 BzPs = As BjPi = AiBiCi = Gi+PjCo其中:Gi = AjBG:二 A:B:Gi AiBiG G:+P:GG - Gs

22、+PsC,Ci = G1+P4C3并行進(jìn)位方式C G1+P1C0C: Gz+P.Gi+PzPiCoC3 = 6+比5+刊匕5+比門(mén)匕5C4 = G4+P4G3+P4P3G2+P4P3P2G1+P4P3P2P1C01J計(jì)算機(jī)如成慮理弟五版習(xí)罐怎素計(jì)算機(jī)如成慮理弟五版習(xí)罐怎素12. (1)組成最低四位的74181進(jìn)位輸出為:c4 = Cn7 = G+PCn = G+PCo, Co 為向第 0 位進(jìn)位 其中,G -y3+y2X3+y1x2X3+yoXiX2x3 P-Xqxm,所以c5 = V4+X4C4c6 = y5+x5C5 = y5+x5*+x5X4C4(2) 設(shè)標(biāo)準(zhǔn)門(mén)延遲時(shí)間為T(mén), “與或川“

23、門(mén)延遲時(shí)間為1.5T,則進(jìn)位信號(hào)Co,由最低位傳 送至C6需經(jīng)一個(gè)反相器、兩級(jí)“與或非”門(mén),故產(chǎn)生Co的最長(zhǎng)延遲時(shí)間為T(mén)+2*1.5T = 4T(3) 最長(zhǎng)求和時(shí)間應(yīng)從施加操作數(shù)到ALU算起:第一片74181有3級(jí)“與或非”門(mén)(產(chǎn) 生控制參數(shù)Xo, y0, Cn),第二、三片74181共2級(jí)反相器和2級(jí)“與或非”門(mén)(進(jìn) 位鏈),第四片74181求和邏輯(1級(jí)與或非門(mén)和1級(jí)半加器,設(shè)其延遲時(shí)間為3T), 故總的加法時(shí)間為:t0 = 3*1.5T+2T+2*1.5T+1.5T+3T = 14T”J1*13. 設(shè)余三碼編碼的兩個(gè)運(yùn)算數(shù)為X.和X,第一次用二進(jìn)制加法求和運(yùn)算的和數(shù)為S門(mén)進(jìn) 位為Cm校正

24、后所得的余三碼和數(shù)為s,進(jìn)位為cm,則有:x. = X“X訂 X,Xg丫產(chǎn)丫門(mén)丫二丫訂丫詢 srSi/sSirs/13XI Y訂當(dāng)C昇=1時(shí),Y13當(dāng)c*= o時(shí),s】 = sr+ooiis 產(chǎn) sr+iioiXi0 Yi0町畫(huà)出余三碼編碼的十進(jìn)制加法器單元電路如圖所示。根據(jù)以上分析.14.-S1=A1B1C1+ A1B1C1+ A1B1C1+ A1B1C1圖如下:計(jì)算機(jī)如成慮理弟五版習(xí)罐彩素#計(jì)算機(jī)如成慮理弟五版習(xí)罐彩素#計(jì)算機(jī)如成慮理弟五版習(xí)罐彩素15.設(shè)計(jì)思想:電路由三部分構(gòu)成:ALU立成怎點(diǎn)加減法運(yùn)算和邏輯運(yùn)訂L;用的陣列乘 法器完成乘法運(yùn)算,專用的陣列除法器完成除法操作。邏輯圖可參考

25、主教材圖2.7和圖2.9。 16設(shè)計(jì)思想:因?yàn)橛邪朔N運(yùn)算,所以控制信號(hào)采用三位,S0,Sl.S2o加法和減法操作利用 4位補(bǔ)碼加減法器完成;加1操作可以單獨(dú)設(shè)計(jì)電路實(shí)現(xiàn),也町以將被加數(shù)強(qiáng)制為+1利用 加減法器實(shí)現(xiàn):傳送操作可以利用加減法器實(shí)現(xiàn),第.加數(shù)強(qiáng)制為0;邏輯乘和取反操作可 設(shè)計(jì)單獨(dú)的邏輯運(yùn)算電路,用與門(mén)和反相器實(shí)現(xiàn);取補(bǔ)電路單獨(dú)設(shè)計(jì),參見(jiàn)主教材圖2.6: 乘法操作可單獨(dú)設(shè)計(jì)高速乘法器,電路參見(jiàn)主教材圖2.7。17.設(shè)計(jì)思想:將74181的S3S0及M等五個(gè)控制信號(hào)縮減為S2S0三根信號(hào),主教材 表2.5 (功能表中的算術(shù)運(yùn)算和邏輯運(yùn)算相應(yīng)進(jìn)行簡(jiǎn)化,去除冗余操作和町替代操作: 000邏輯

26、0001: AB010: A+B011:AB100: A 加 B101:A 減E 減 1110:A 加 A111:A其中,000-011為四種邏輯運(yùn)算,100 711為四種算術(shù)運(yùn)算。根據(jù)功能表可以很容易地設(shè) 計(jì)出簡(jiǎn)化的函數(shù)發(fā)生器。第三章l.(l)220 * = 4A/ 字節(jié)102黑32片51218(3) 1位地址作芯片選擇2.(1) 2:6/2:4=4 (塊)(224/2)x(64 位/8 位)32 (片)(3) 主存共需DRAM芯片為:4x32=128(片)每個(gè)內(nèi)存條有32片DRAM芯片,容量為16Mx64位,需24根地址線(A23A0)完成內(nèi) 存條內(nèi)存儲(chǔ)單元尋址。一共有4塊內(nèi)存條,采用2根

27、高位地址線(A25-A24),通過(guò)2: 4譯 碼器譯碼產(chǎn)生片選信號(hào)對(duì)各模塊板進(jìn)行選擇。3.(1)根據(jù)題意,存儲(chǔ)總?cè)葑顬?4KB,故地址總線需16位?,F(xiàn)使用16K*8位DRAM芯片, 共需16片。芯片本身地址線占14位,所以采用位并聯(lián)與地址串聯(lián)相結(jié)合的方法來(lái)組成整 個(gè)存儲(chǔ)器,其組成邏輯圖如圖所示,其中使用一片2: 4譯碼器。(2) 根據(jù)己知條件,CPU在lus內(nèi)至少訪存一次,而整個(gè)存儲(chǔ)器的平均讀/寫(xiě)周期為0.5us, 如果釆用集中刷新,有64us的死時(shí)間,肯定不行如果采用分散刷新,則每lus只能訪存一次,也不行所以采用異步式刷新方式。假定16K*1位的DRAM芯片用128*128矩陣存儲(chǔ)元構(gòu)成,

28、刷新時(shí)只對(duì)128行進(jìn)行異步方 式刷新,則刷新間隔為2ms/128=15.6us,可取刷新信號(hào)周期15us。刷新一遍所用時(shí)間= 15usX128=1.92ms14計(jì)算機(jī)如成慮理弟五版習(xí)罐彩素#計(jì)算機(jī)如成慮理弟五版習(xí)罐彩素2: 4譯碼器10241324,U)128/C *8=32片#計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐如果選擇一個(gè)行地址進(jìn)行刷新,刷新地址為Ao-As,因此這r行上的2048個(gè)存儲(chǔ)元同時(shí)進(jìn)行刷新.即在8ms內(nèi)進(jìn)行512個(gè)周期。刷新方式可采用:在8ms中進(jìn)行512次刷 新操作的集中刷新方式,或按8ms/512 = 15.5US刷新一次的異步刷新方式。5. 所設(shè)計(jì)的存儲(chǔ)器單元數(shù)為1M,字長(zhǎng)為32

29、,故地址長(zhǎng)度為20位(A19A0),所用芯片存 儲(chǔ)單元數(shù)為256K字長(zhǎng)為16位,故占用的地址長(zhǎng)度為18位(A17A0)。由此可用位并 聯(lián)方式與地址串聯(lián)方式相結(jié)介的方法組成組成帑個(gè)存儲(chǔ)器,共8片RAM芯片,并使用一 片2: 4譯碼器。其存儲(chǔ)器結(jié)構(gòu)如圖所示。丄CPU6qA8YO oYlh 頁(yè)。頁(yè)3 liTjfrD”D16(奇 16 位)Y2Y3C個(gè)個(gè)二 個(gè)二XlpoXUC52 JaLC531256k IJvT/l JI J256kw / R*16 yTcso Tpbsiyfez yfcswikikw 256k16256k*16_W/RD貫(低16位)W/R6. (1)系統(tǒng)16位數(shù)據(jù)所以數(shù)據(jù)寄存器1

30、6位(2)系統(tǒng)地址128K=217,所以地址寄存器17位(3)共需要8片(4)組成框圖如下7組內(nèi)地址用A12-Ao(2) 小組譯碼器使用3: 8譯碼器RAMrRAM5各用兩片8K*8的芯片位并聯(lián)連接17計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐8順序存儲(chǔ)器和交叉存儲(chǔ)器連續(xù)讀出m=8個(gè)字的信息總量都定: q = 64 位*8 = 512 位順序存儲(chǔ)器和交叉存儲(chǔ)器連續(xù)讀出8個(gè)字所需的時(shí)間分別是:ti-mT-SlOOns-8*計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐= T + (m - l)r = 100/5 +7* 50$ = 450ns = 4.5 *107 ns順序存儲(chǔ)器和交叉存儲(chǔ)器的帶寬分

31、別是:叱=?/人=512 十(8*10T) = 64xl0位/sW2 =q/t2 = 512 -r (4.5 * 107= 113.8 x 107位/s9. cache的命中率=0.968_Nc *直 2420M + N,“ 一 2420 + 80Yr - 幾 240T 40cache/主存系統(tǒng)效率e為r + (l-r)H I 6 +(1-6)*0.968 QQ/oS62/o平均訪問(wèn)時(shí)間G為計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐40$0.862/5=46.4ns_ 50-200 40-200= 93.75%計(jì)算機(jī)紐成慮理弟五版習(xí)罐彩橐11 設(shè)収指周期為總線傳送周期為T(mén).指令執(zhí)

32、行時(shí)間為5(1) t - (T+5 t +6to)*8O 80T+400 t +480 t0(2) t (T+7 t +8to)*6O 60T+420 t +480 t0 故不相等。12.D18計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐第四章1. 不合理。指令最好半字長(zhǎng)或單字長(zhǎng),設(shè)16位比較令適。 2.70條指令,所以操作碼至少為7位。雙操作數(shù)指令格式可以為:無(wú)操作數(shù)指令格式町以為:73. (1)RR型指令(2) 寄存器尋址JX(3) 單字長(zhǎng)二地址指令(4) 操作碼字段OP可以指定2=64種操作X4. (1)雙字長(zhǎng)二地址指令,用于訪問(wèn)存儲(chǔ)器。操作碼字段町指定64種操作。(2) RS型指令,一個(gè)操作數(shù)在通用寄

33、存器(共16個(gè)),另一個(gè)操作數(shù)在主存中。(3) 有效地址可通過(guò)變址尋址求得,即冇效地址等于變址寄存器(共16個(gè))內(nèi)容加上位移 量。5. (1)雙操作數(shù)指令(2) 23-8種尋址方式(3) 2=16 種操作I / r6. (1)直接尋址方式(2) 相對(duì)尋址方式(3) 變址尋址方式(4) 基址尋址方式(5) 間接尋址方式(6) 基址間接尋址方式7.40條指令至少需要操作碼字段6位,所以剩下的長(zhǎng)度為26位。主存的容量為61M字,則 設(shè)尋址模式(X) 2位,格式如下:3126 25 24 230OPXDX=0 0直接尋址有效地址E=DX=01立即尋址D字段為立即數(shù)X= 1 0變址尋址 令效地址E= (

34、RX) + D (可尋址64M個(gè)存儲(chǔ)單元)X= 1 1相對(duì)尋址 冇效地址E= (PC) +D (可尋址64M個(gè)存儲(chǔ)單元)其中RX為變址寄存器(32位),PC為程序計(jì)數(shù)器(32位)。在相對(duì)尋址時(shí),位移最D計(jì)算機(jī)如成原理弟五版習(xí)題怎余可正可負(fù)。8. (1?50種操作弓占6位,4嚴(yán)尋址方式盧2位。以單地址指令為例:| OP (6) | X (2)| D (24)-X = 00寄存器尋址方式。D字段實(shí)際使用4比特選擇16個(gè)通用寄存器。X = 01寄存器間接尋址方式。D字段實(shí)際使用4比特選擇16個(gè)通用寄存器E= (RX)o X=10立即尋址方式。D字段給出24位立即數(shù)。X=ll直接尋址方式。D字段給出2

35、4位內(nèi)存地址。E-Do(2)尋址模式字段變成3位,可以支持更多的尋址方式??蓧埣酉鄬?duì)尋址方式,其仃效地 址E = PC+D;還可使用內(nèi)存間接尋址,此時(shí)有效地址E= (D)o9. 16個(gè)通用寄存器占4位,64種操作占6位,刺下22位用丁存儲(chǔ)器地址,OP (6)R (4)D (22)采用R為基址寄存器尋址,地址=(R)+D”當(dāng)基址最大,D也是最大的時(shí)候,尋址能力最人而寄存器是32位的,丿 Qr故最大存儲(chǔ)空間是2324-2z: = 4GB-|-4MBo10. 表4.9的指令數(shù)為29,則指令的操作碼至少為5位。設(shè)這些指令支持立即尋址、寄存器 尋址、直接尋址、堆棧尋址、相對(duì)尋址、內(nèi)存間接尋址、寄存器間接

36、尋址、變址尋址、 基址尋址等9種尋址方式。并設(shè)計(jì)算機(jī)字長(zhǎng)為32位:64848OP目標(biāo)尋址方式目標(biāo)操作數(shù)源尋址方式源操作數(shù)(3) 立即(4) 直接相對(duì)、基址、變址20計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐第五章1. (1) IR、(2)AR、(3)DR、通用寄存器2. STO RP (R:)PC-ARPC0, G AR.1M-DRR/W =RDR-IRDRo.GAK令G ARL 1(RrDRRlo, G DR.|dr-mBjWW亠3. LAD (Rj), RoPC 亠 AR|m-drDR-IRR3-AR R3o, G AKM-DRLTBj W =RDR&DRo. G R0x4.21計(jì)算機(jī)紐成慮理弟五版習(xí)罐

37、怎橐22計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐#計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐5.節(jié)柏脈沖T3的寬度實(shí)際上等丁時(shí)鐘脈沖的周期或是它的倍數(shù)。此處T】 T: 200ns, T3 = 400ns,所以主脈沖源的頻率應(yīng)為/ = * = 5MHz.。為了消除節(jié)拍脈沖上的毛刺,環(huán)形脈沖發(fā)生器采用移位寄存器形式。圖中畫(huà)出了題目要求 的邏輯電路圖與時(shí)序信號(hào)關(guān)系圖根據(jù)時(shí)序信號(hào)關(guān)系,T, T2, T,三個(gè)節(jié)拍脈沖的邏輯表 達(dá)式如下:用與門(mén)實(shí)現(xiàn),0和T,則用C,的端和5的Q端加非門(mén)實(shí)現(xiàn),其目的在于保持信號(hào)輸 出時(shí)延時(shí)間的一致性并與壞形脈沖發(fā)生器隔離。#計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐123456n_n_rum_n_23計(jì)算機(jī)紐成慮

38、理弟五版習(xí)罐怎橐#計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐* * * * *begOldOle10 i q10 fHjflllhb按IR6、IR5轉(zhuǎn)移*T1326. (80*3 + 1)* = 964字節(jié)87. M-GS3 = H+D+FS2 = A+B+H+D-E+F+GSI = A+E+F+GC H-D+Ey+Fy+G 4&經(jīng)分析,(d.i,j)和(e, f, h)可分別組成兩個(gè)小組或兩個(gè)字段,然后進(jìn)行譯碼,可得六 個(gè)微命令信號(hào),剩卜的a, b, c, g四個(gè)微命令信號(hào)可進(jìn)行直接控制,其整個(gè)控制字段組成如 T:9. P1P2=l,按進(jìn)位C轉(zhuǎn)移 微地址轉(zhuǎn)移邏輯圖:10將C, D兩個(gè)暫存器直接接到ALU的

39、A, B兩個(gè)輸入端上。與此同時(shí),除C, D外, 其余7個(gè)寄存器都雙向接到單總線上。取指取源操作數(shù) 収目的操作數(shù)加存回修改送回繼指令地址11. (1)假設(shè)判別測(cè)試字段中每一位作為一個(gè)判別標(biāo)志,那么由于有4個(gè)轉(zhuǎn)移條件,故該字段 為4位。卜地址字段為9位,肉為控存容量為512單元。微命令字段則是(48斗9)=35 位。(2) 對(duì)應(yīng)上述微指令格式的微程序控制器邏輯框圖如圖所示。其中微地址寄存器對(duì)應(yīng)下地 址字,P字段即為判別測(cè)試字段,控制字段即為微命令字段,后兩部分組成微指令寄 存器。地址轉(zhuǎn)移邏輯的輸入是指令寄存器的OP碼、各種狀態(tài)條件以及判別測(cè)試字段所給的判別標(biāo)志(某一位為1),其輸出修改微地址寄存器

40、的適當(dāng)位數(shù),從而實(shí)現(xiàn)微 程序的分支轉(zhuǎn)移。就是說(shuō),此處微指令的后繼地址采用斷定方式。13地址轉(zhuǎn)移 邏輯微命令信號(hào)1微地址寄存器卜1控制存儲(chǔ)器7 TTT TP字段控制字段1個(gè)個(gè)狀態(tài)條件抬令寄存器IR 0P12. (1)流水線的操作周期應(yīng)按各步操作的最人時(shí)間來(lái)考虎,即流水線時(shí)鐘周期性t = maxrf = 100$(2) 遇到數(shù)據(jù)相關(guān)時(shí).就停頓第2條指令的執(zhí)行,直到前面指令的結(jié)果己經(jīng)產(chǎn)生,因此至少需要延遲2個(gè)時(shí)鐘周期。就對(duì)使流水線不發(fā)生停頓。(3) 如果在硬件設(shè)計(jì)上加以改進(jìn),如采用專用通路技術(shù),13.(1)空間介/ / /、/、/1234512345123451234512345WB MEMEXID

41、IF2 3 4 5個(gè)7J、個(gè)202020202015 16 17 18時(shí)間T19 2026計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐200 tx t2 t3 t4 t5 t6 t: t8 t9(2)H (K + n-l)r (5 + 20-1)*100*10-9 833 10 條/秒,上=怛一=20巧*17 Tp (K + -l)r20 + 5-114.時(shí)間T空間WB EX ID IF2 3 4 5 6 7 8非流水線時(shí)間圖 /、八/ /12I,】5I】121313LI?151|1512I.15空間WB EX ID IF時(shí)間T234 S 678流水線時(shí)間圖#計(jì)算機(jī)紐成慮理弟五版習(xí)罐怎橐#計(jì)算機(jī)紐成慮理弟五版

42、習(xí)罐怎橐如上芮圖所示,執(zhí)行相同的指令.在8個(gè)單位時(shí)間內(nèi),流水計(jì)算機(jī)完成5條指令,而非27計(jì)算機(jī)如成慮理弟五版習(xí)罐蓉橐流水計(jì)算機(jī)只完成2條顯然,流水計(jì)算機(jī)比非流水計(jì)算機(jī)有更高的吞吐呈。15. 證:設(shè)11條指令,K級(jí)流水,每次流水時(shí)間t則用流水實(shí)現(xiàn)Tp = KT+(n-l) tHp = *Tp非流水實(shí)現(xiàn)Ts = K t nTsnHp_Tp_Ts_ Kiit _ Kn _ K HsnTp Kr + (n-l)r - K + 口一廠 K-lTsnn8 時(shí) 9 ooHsn=l時(shí), =1 ,則可見(jiàn)nl時(shí)TsTp,故流水線有更高吞吐量16. (1)寫(xiě)后讀 RAW(2)讀后寫(xiě) WARyACK/寫(xiě)后寫(xiě)waw/1

43、7. (1)FDEW7FDEEW13FDEEEWI.FDEEWFDEW】6FDEEW第六章1. 單總線結(jié)構(gòu):它是一組總線連接幣個(gè)計(jì)算機(jī)系統(tǒng)的各大功能部件,各大部件之間的所有 的信息傳送都通過(guò)這組總線。其結(jié)構(gòu)如圖所示。單總線的優(yōu)點(diǎn)是允許I/O設(shè)備之間或I/O 設(shè)備與內(nèi)存之間直接交換信息,只需CPU分配總線使用權(quán),不需要CPU干預(yù)信息的交換。 所以總線資源是rhftX功能部件分時(shí)共享的。單總線的缺點(diǎn)是rh于全部系統(tǒng)部件都連接在 一組總線上,所以總線的負(fù)裁很覓,可能使其吞最達(dá)到飽和其至不能勝任的程度。故多為 小型機(jī)和微型機(jī)采用。CPU內(nèi)存設(shè)備接11 設(shè)備接口系統(tǒng)總線29計(jì)算機(jī)如成慮理弟五版習(xí)罐蓉橐多

44、總線結(jié)構(gòu):多總線系統(tǒng)結(jié)構(gòu)是通過(guò)橋,CPU總線,系統(tǒng)總線和高速總線彼此相連,各 人部件的信息傳送不是只通過(guò)系統(tǒng)總線:體現(xiàn)了高速,中速,低速設(shè)備連接到不同的總線 匕同時(shí)進(jìn)行匸作,以提高總線的效率和吞吐最,而fl處理器結(jié)構(gòu)的變化不影響高速總線。2. (1)簡(jiǎn)化了碩件的設(shè)計(jì)。從硬件的角度看,面向總線是由總線接I I代替了專門(mén)的I/O接I I, 由總線規(guī)范給出了傳輸線和信號(hào)的規(guī)定,并對(duì)存儲(chǔ)器、I/O設(shè)備和CPU如何掛在總線上 都作了具體的規(guī)定,所以,而向總線的微型計(jì)算機(jī)設(shè)計(jì)只要按照這些規(guī)定制作CPU插 件、存儲(chǔ)器插件以及DO插件等,將它們連入總線即可工作,而不必考慮總線的詳細(xì)操 作。(2) 簡(jiǎn)化了系統(tǒng)結(jié)

45、構(gòu)。整個(gè)系統(tǒng)結(jié)構(gòu)清晰,連線少,底板連線可以印刷化。(3) 系統(tǒng)擴(kuò)充性好。一是規(guī)模擴(kuò)充,二是功能擴(kuò)充。規(guī)模擴(kuò)充僅僅需要多插一些同類型的 插件;功能擴(kuò)充僅僅需要按總線標(biāo)準(zhǔn)設(shè)計(jì)一些新插件。插件插入機(jī)器的位置往往沒(méi)有嚴(yán) 格的限制。這就使系統(tǒng)擴(kuò)充既簡(jiǎn)單又快速可靠,而且也便于查錯(cuò)。系統(tǒng)更新性能好。因?yàn)镃PU、存儲(chǔ)器、I/O接II等都足按總線規(guī)約掛到總線上的,因而 只要總線設(shè)計(jì)恰當(dāng),可以隨時(shí)隨著處理器芯片以及具他有關(guān)芯片的進(jìn)展設(shè)計(jì)新的插件, 新的插件插到底板上對(duì)系統(tǒng)進(jìn)行更新,而這種更新只需更新需要更新的插件,其他插件#計(jì)算機(jī)如成原理弟五版習(xí)越怎余和底板連線一般不需更改。3. “A”的ASCII碼為41H =

46、 01000001B, 1的個(gè)數(shù)為偶數(shù),故校驗(yàn)位為0; “8”的ASCII 碼為38H-OO11IOOOB, 1的個(gè)數(shù)為奇數(shù),故校驗(yàn)位為1。說(shuō)明:兩個(gè)設(shè)備共用總線,每個(gè)設(shè)備的總線接II部分 如右圖所示。通過(guò)鎖存器保存接收數(shù)據(jù),并通過(guò)三態(tài) 門(mén)向總線發(fā)送數(shù)據(jù)。每個(gè)設(shè)備的Rm控制端有效時(shí),鎖 存器保存接收數(shù)據(jù);每個(gè)設(shè)備的Rout信號(hào)有效時(shí),鎖 存器保存的數(shù)據(jù)被送上總線。當(dāng)Rout 號(hào)無(wú)效時(shí),設(shè) 備與總線在電氣上斷開(kāi)。CP DrRm 廠停起數(shù)數(shù)數(shù)數(shù)數(shù)數(shù)校起數(shù)數(shù)數(shù)數(shù)數(shù)數(shù)數(shù)校停止始據(jù)據(jù)據(jù)據(jù)據(jù)據(jù)驗(yàn)始據(jù)據(jù)據(jù)據(jù)據(jù)據(jù)據(jù)驗(yàn)止位位位位位位位位位位位位位位位位位位位023067012345674.邏輯圖如卜9. E、A

47、、C10. A/11. D/12. A13. 存儲(chǔ)總線周期用于対內(nèi)存讀寫(xiě),I/O總線周期對(duì)接II中的端II進(jìn)行讀寫(xiě)。14. D、C、A、B/15. B、A、E、D、C16. A、B、C、D17. PCI總線上月HOST橋、PCI/LAGACY總線橋、PCDPCI橋。橋在PCI總線體系結(jié)構(gòu)中 起著重要作用,它連接兩條總線,使彼此間相互通信。橋是一個(gè)總線轉(zhuǎn)換部件,可以把 一條總線的地址空間映射到另一條總線的地址空間上,從而使系統(tǒng)中任意一個(gè)總線主i殳 備都能看到同樣的一份地址表。橋可以實(shí)現(xiàn)總線間的猝發(fā)式傳送,可使所有的存取都按 CPU的需要出現(xiàn)在總線匕。由上町見(jiàn),以橋連接實(shí)現(xiàn)的PCI總線結(jié)構(gòu)只有很好

48、的擴(kuò)充 性和兼容性,允許影條總線并行工作。分布式仲裁不需要中央仲裁器,每個(gè)潛在的主方功能模塊都冇口己的仲裁號(hào)和仲裁器。 當(dāng)它們有總線請(qǐng)求時(shí),把它們唯一的仲裁號(hào)發(fā)送到共享的仲裁總線上,每個(gè)仲裁器將仲 裁總線卜得到的號(hào)與自己的號(hào)進(jìn)行比較。如果仲裁總線上的號(hào)人,則它的總線請(qǐng)求不予 響應(yīng),并撤消它的仲裁號(hào)。敲后,獲勝者的仲裁號(hào)保留在仲裁總線上,分布式仲裁是以 優(yōu)先級(jí)仲裁策略為基礎(chǔ)。31計(jì)算機(jī)紐成慮理弟五版習(xí)罐彩余18. 總線的一次信息傳送過(guò)程,大致可分為:請(qǐng)求總線,總線仲裁,尋址,信息傳送,狀態(tài) 返回。啟動(dòng)信號(hào)一I地址線數(shù)臧總線時(shí)鐘一riII廠L_i_認(rèn)可119. 設(shè)總線帯寬用Di表示,總線時(shí)鐘周期用

49、T=l/f表示,個(gè)總線周期傳送的數(shù)據(jù)量用D 表示,根據(jù)定義可得:Di = T/D = Dxl/f =8Bx70 = 560MHz/s20. PCI總線:是一種不依附于某個(gè)典體處理器的局部總線,支持10種外設(shè),并能在高時(shí)鐘頻率下保持高性能。總線時(shí)鐘頻率為33.3MHz 66MHz,最大數(shù)據(jù)傳輸速率133MB/S, 采用時(shí)鐘同步方式,與CPU及時(shí)鐘頻率無(wú)關(guān),總線寬度32位(5V) /64位(3.3V), 能自動(dòng)識(shí)別外設(shè)。總線只有與處理器和存儲(chǔ)器子系統(tǒng)完全并行操作的能力,具有隱含的 中央仲裁系統(tǒng),采用多路復(fù)用方式(地址線和數(shù)據(jù)線)減少了引腳數(shù),支持64位尋址, 具有完全的多總線主控能力。Infini

50、Band標(biāo)準(zhǔn):針對(duì)處理器和智能IQ設(shè)備之間數(shù)據(jù)流而提出的一種新體系結(jié)構(gòu),用于 在服務(wù)器中取代PCI總線,采用InfimBand結(jié)構(gòu)將允許服務(wù)器提供更高的帶寬和可擴(kuò)展 能力,并增強(qiáng)了存儲(chǔ)設(shè)備擴(kuò)充的靈活性。InfiniBand允許服務(wù)器,遠(yuǎn)程存儲(chǔ)器,其他網(wǎng) 絡(luò)設(shè)備接入到一個(gè)由開(kāi)關(guān)和鏈路組成的中央開(kāi)關(guān)網(wǎng)帶,可連接多達(dá)64000個(gè)服務(wù)器, 存儲(chǔ)系統(tǒng)和網(wǎng)絡(luò)設(shè)備。32計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐8.33計(jì)算機(jī)如成慮理弟五版習(xí)罐怎橐第七章1. D2. C、D、C. A1024*1024*2563. = 1MB8*84. 格式化容最=扇區(qū)容杲*每道扇區(qū)數(shù)*磁道總數(shù)=5129*100*2=921600B5. i

51、殳讀寫(xiě)一塊信息所需總時(shí)間為g,平均找道時(shí)間為J平均等待時(shí)間為S讀寫(xiě)一塊信息的傳輸時(shí)間為J,則tE+h+tm/假設(shè)磁盤(pán)以每秒轉(zhuǎn)速率旋轉(zhuǎn),每條磁道容量為N個(gè)字,則數(shù)據(jù)傳輸率=IN個(gè)字/秒。又假設(shè)每塊的字?jǐn)?shù)為m因而一旦讀寫(xiě)頭定位在該塊始端.就能在-7/rN)秒的時(shí)間中傳輸完畢。U是磁盤(pán)旋轉(zhuǎn)半周的時(shí)間,n= (l/2r)秒。由此可得: /宀+卜+韋秒2r rN6 牆篇=27753/轉(zhuǎn)= 2775B/道2*220*2775 = 1.16NIB7. (1)275*12288*4 = 12.89MB廠(2) 最高位密度D1按最小磁道半徑R1計(jì)算(Rl = 115mm):D1 - 12288 字節(jié) /2tiR

52、1 = 17 字節(jié) / nun最低位密度D2按最大磁道半徑R2計(jì)算:R2 = R1 + (275-5) = 115 + 55 = 170mm D2 = 12288 字節(jié) /2nR2= 11.5 字節(jié) /mill(3) *12288 = 6000/5(4) -*1000=10/7/52 3000(5)601臺(tái)號(hào)柱面(磁道)號(hào)盤(pán)面(磁頭)號(hào)扇區(qū)號(hào)16 54 301615 14此地址格式表示有4臺(tái)磁盤(pán),每臺(tái)有4個(gè)記錄而,每個(gè)記錄面址多可容納512個(gè)磁道, 每道有16個(gè)扇區(qū)。存取時(shí)間=平均查找時(shí)間+平均等待時(shí)間1 60= 60 + -* 1000 = 72.5ms2 24008.#計(jì)算機(jī)如成慮理弟五版

53、習(xí)罐總橐#計(jì)算機(jī)如成慮理弟五版習(xí)罐總橐彷=96*轡=480加$9.128000字節(jié)/秒= 64000字節(jié)加2m/s(2)傳送一個(gè)數(shù)據(jù)塊所需時(shí)間為-124字節(jié)丄秒128000字節(jié) / 秒125一個(gè)數(shù)據(jù)塊占用長(zhǎng)度為/ = v*r = 2m/s = 0.016/?/125ySf/每塊間隙L = 0.014m,數(shù)據(jù)塊總數(shù)為” J*竽二= 19867塊AX/ +厶故磁帶存儲(chǔ)器有效存儲(chǔ)容量為f19867 塊K 字節(jié)=19867K 字節(jié)10. (1)磁盤(pán)內(nèi)徑為:9英寸5英寸4英寸/內(nèi)層磁道周長(zhǎng)為2欣=2*3.14*5 = 31.4英寸每道信息量=1000位/英寸*31.4英寸=3.14*104位磁盤(pán)有100道/英寸審5英寸=500道盤(pán)片組總?cè)萘浚?0*500*3.14*104 = 3.14*10s位=314 兆位(2)每轉(zhuǎn)即每道含有信息量3.14*104位,即3.925*10JB=267轉(zhuǎn)/$ = 16020轉(zhuǎn)/分鐘3.925*10/轉(zhuǎn) AgW11. (1)(30*10-5+10*10-5+3000/50

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!